文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑第八章 - 副本

数字逻辑第八章 - 副本

数字逻辑第八章 - 副本
数字逻辑第八章 - 副本

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字信号处理第七章

第七章数字滤波器设计 7.1:无限冲激响应滤波器的阶数的估计 Q7.1用MATTAB确定一个数字无限冲激响应低通滤波器所有四种类型的最低阶数。指标如下:40 kHz的抽样率,,4 kHz的通带边界频率,8 kHz的阻带边界频率,0.5 dB的通带波纹,40 dB的最小阻带衰减。评论你的结果。 答:标准通带边缘角频率Wp是: 标准阻带边缘角频率Ws是: 理想通带波纹Rp是0.5dB 理想阻带波纹Rs是40dB 1.使用这些值得到巴特沃斯低通滤波器最低阶数N=8,相应的标准通带边缘频率Wn是0.2469. 2.使用这些值得到切比雪夫1型低通滤波器最低阶数N=5,相应的标准通带边缘频率Wn是0.2000. 3/使用这些值得到切比雪夫2型低通滤波器最低阶数N=5,相应的标准通带边缘频率Wn是0.4000. 4.使用这些值得到椭圆低通滤波器最低阶数N=8,相应的标准通带边缘频率Wn是0.2000. 从以上结果中观察到椭圆滤波器的阶数最低,并且符合要求。 Q7.2用MATLAB确定一个数字无限冲激响应高通滤波器所有四种类型的最低阶数。指标如下:3500Hz的抽样率,1050 Hz的通带边界频率,600 Hz的阻带边界频率,1 dB 的通带波纹,50 dB的最小阻带衰减。评论你的结果 答:标准通带边缘角频率Wp是: 标准阻带边缘角频率Ws是: 理想通带波纹Rp是1dB 理想阻带波纹Rs是50dB 1.使用这些值得到巴特沃斯高通滤波器最低阶数N=8,相应的标准通带边缘频率Wn是0.5646.

2.使用这些值得到切比雪夫1型高通滤波器最低阶数N=5,相应的标准通带边缘频率Wn是 0.6000. 3.使用这些值得到切比雪夫2型高通滤波器最低阶数N=5,相应的标准通带边缘频率Wn是 0.3429. 4.使用这些值得到椭圆低通滤波器最低阶数N=4,相应的标准通带边缘频率Wn是0.6000. 从以上结果中观察到椭圆滤波器的阶数最低,并且符合要求。 Q7.3用MATLAB确定一个数字无限冲激响应带通滤波器所有四种类型的最低阶数。指 标如下:7 kHz的抽样率,1.4 kHz和2.1 kHz的通带边界频率,1.05 kHz和2.45 kHz 的阻带边界频率,,0 .4 dB的通带波纹,50 dB的最小阻带衰减。评论你的结果。 答:标准通带边缘角频率Wp是: 标准阻带边缘角频率Ws是: 理想通带波纹Rp是0.4dB 理想阻带波纹Rs是50dB 1.使用这些值得到巴特沃斯带通滤波器最低阶数2N=18,相应的标准通带边缘频率Wn是[0.3835 0.6165]. 2.使用这些值得到切比雪夫1型带通滤波器最低阶数2N=12,相应的标准通带边缘频率Wn 是[0.4000 0.6000]. 3.使用这些值得到切比雪夫2型带通滤波器最低阶数2N=12,相应的标准通带边缘频率Wn 是[0.3000 0.7000]. 4.使用这些值得到椭圆带通滤波器最低阶数2N=8,相应的标准通带边缘频率Wn是[0.4000 0.6000]. 从以上结果中观察到椭圆滤波器的阶数最低,并且符合要求。 Q7.4用MATLAB确定一个数字无限冲激响应带阻滤波器所有四种类型的最低阶数。指标如下:12 kHz的抽样率,2.1 kHz和4.5 kHz的通带边界频率,2.7 kHz和3.9 kHz的阻带边界频率,0.6 dB的通带波纹,45 dB的最小阻带衰减。评论你的结果。

数字逻辑设计习题第4章

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? 4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 123 B C Z

P和4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出 1 P的表达式,列出真值表,说明其逻辑功能。 2 Array 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备 出故障时,指示灯红灯和黄灯都亮。

4—6 下图4.6是由八选一数据选择器构成的电路,试写出当1G 0G 为各种不同的取值时的输出Y 的表达式。 4—7仿照全加器设计一个全减器,被减数为A ,减数为B ,低位来的借位为C ,差为D ,向上借一位为J 。 要求:1.写出真值表,写出D 与J 的表达式;2.用译码器74LS138和必要的基本门电路实现此电路;3.用双四选一数据选择器实现。 G A

4—8 设计一组合逻辑电路,输入为四位二进制码3B 2B 1B 0B ,当 3B 2B 1B 0B 是BCD8421码时输出1=Y ;否则0=Y 。列出真值表,写出 与或非表达式,用集电极开路门实现。 4—9 设计一个多功能组合数字电路,实现下表所示逻辑功能。表中1C 0C 为功能选择输入信号;A ,B 为输入变量;F 为输出。 1.列出真值表,写出F 的表达式; 2.用八选一数据选择器和门电路实现。

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.()10=()2 =(1A.2)16 2.()10=()2 3.(1011111.01101)2=( )8=()10 4.()8=()16 5.(1011)2×(101)2=(110111)2 6.(486)10=(0)8421BCD =(0)余3BCD 7.()10=()8421BCD 8.()8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。

21.函数D =的最小项表达式为Y= ∑m(1,3,9,11,12,13,14,15)。 Y+ AB B 22.约束项是不会出现的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F(A,B,C)=∏M(1,3,4,6,7),则F(A,B,C)=∑m( 0,2,5)。 24.VHDL的基本描述语句包括并行语句和顺序语句。 25.VHDL的并行语句在结构体中的执行是并行的,其执行方式与语句书写的顺序无关。 26.在VHDL的各种并行语句之间,可以用信号来交换信息。 27.VHDL的PROCESS(进程)语句是由顺序语句组成的,但其本身却是并行语句。 28.VHDL顺序语句只能出现在进程语句内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL的数据对象包括常数、变量和信号,它们是用来存放各种类型数据的容器。 30.下列各组数中,是6进制的是。 A.14752 B.62936 C.53452 D.37481 31.已知二进制数,其对应的十进制数为。 A.202 B.192 C.106 D.92 32.十进制数62对应的十六进制数是。 A.(3E)16 B.(36)16 C.(38)16 D.(3D)16 33.和二进制数()2等值的十六进制数是。 A.()16 B.()16 C.()16 D.()16 34.下列四个数中与十进制数(163)10不相等的是。 A.(A3)16 B.()2 C.(0001)8421BCD D.(1)8 35.下列数中最大数是。

2011数字逻辑设计大作业题目

数字逻辑设计大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,不能超过3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值均为三分钟,采用倒计时方式。通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时。同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时。 (2)超时能发出报警判负。 (3)累计时间设置可以改变。 注:附加功能根据本人能力自行添加 题目4:出租车计费器的设计 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。 [设计要求] (1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。 (2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(参考)

数字逻辑第一章课后答案

(1)(1011.10101)2 =(13.52)8=(0B.A8)16=(11.65625)10 (2)(1110.11001)2 =(16.62)8=(0E.C8)16=(14.78125)10 (3)(110110.111)2 =(66.7)8=(36.E )16=(54.875)10 (4)(10101.0011)2 =(25.14)8=(15.3)16=(21.1875)10 1-2 (1)(105.625)10 =(1101001.101)2=(69.A )16 (2)(27/64)10 =(0.011011)2=(0.6C )16 (3)(37.4)10 =(100101. 01100110)2=(25.66)16 (4)(42.375)10 =(101010. 011)2=(2A.6)16 (5)(62/128)10 =(0.0111110)2=(0.7C )16 (6)(9.46)10 =(1001. 01110101)2=(9.75)16 1-3 (1)(AB.7)16 =(10101011. 0111)2=(171.4375)10 (2)(3A.D )16 =(111010. 1101)2=(58.8125)10 (3)(5F.C8)16 =(1011111. 11001)2=(95.78125)10 (4)(2E.9)16 =(101110. 1001)2=(46.5625)10 1-4 (1)真值表 (2)真值表 逻辑函数表达式: 逻辑函数表达式: 1-5 (1)反函数: 对偶函数: (2)反函数: 对偶函数: (3)反函数: 对偶函数: (4)反函数: 对偶函数: AB BC F +++??=ABCD D C AB D C B A D C B A D BC A D C B A CD B A D C B A F +?++?++?+?+???=ABC C A B A A C B A F =?+=+?+=)()(A C B A F +?+=)('C B A C B A B A C B A B A F )()()()(⊕=??+?=?+?+=C B A B A F ?+?+=)()('))()(())((E D B C A C B A F ++?+??+=))()(()(B ++?+?++=))()(())(('E D B C A C B A F ++?+??+=) ()('D C A C B A C A F ++?+++?=D C A ??+?=)()(D C A C B A C A F ++?+++?=

数字逻辑技术第七章

第七章半导体存储器习题 一、选择题 1.一个容量为1K×8的存储器有个存储单元。 A.8 B.8K C.8000 D.8192 2.要构成容量为4K×8的RAM,需要片容量为256×4的RAM。 A.2 B.4 C.8 D.32 3.寻址容量为16K×8的RAM需要根地址线。 A.4 B.8 C.14 D.16 E.16K 4.若RAM的地址码有8位,行、列地址译码器的输入端都为4个,则它们的输出线(即字线加位线)共有条。 A.8 B.16 C.32 D.256 5.某存储器具有8根地址线和8根双向数据线,则该存储器的容量为。 A.8×3 B.8K×8 C.256×8 D. 256×256 6.采用对称双地址结构寻址的1024×1的存储矩阵有。 A.10行10列 B.5行5列 C.32行32列 D.1024行1024列 7.随机存取存储器具有功能。 A.读/写 B.无读/写 C.只读 D.只写 8.欲将容量为128×1的RAM扩展为1024×8,则需要控制各片选端的辅助译码器的输出端数为。 A.1 B.2 C.3 D.8 9.欲将容量为256×1的RAM扩展为1024×8,则需要控制各片选端的辅助 译码器的输入端数为。 A.4 B.2 C.3 D.8 10.只读存储器ROM在运行时具有功能。 A.读/无写 B.无读/写 C.读/写 D.无读/无写 11.只读存储器ROM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为0 C.不可预料 D.保持不变 12.随机存取存储器RAM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为1 C.不确定 D.保持不变 13.一个容量为512×1的静态RAM具有。 A.地址线9根,数据线1根 B.地址线1根,数据线9根 C.地址线512根,数据线9根 D.地址线9根,数据线512根 14.用若干RAM实现位扩展时,其方法是将相应地并联在一起。 A.地址线 B.数据线 C.片选信号线 D.读/写线 15.PROM的与陈列(地址译码器)是。 A.全译码可编程阵列 B. 全译码不可编程阵列 C.非全译码可编程阵列 D.非全译码不可编程阵列 二、判断题(正确打√,错误的打×) 1.实际中,常以字数和位数的乘积表示存储容量。() 2. RAM由若干位存储单元组成,每个存储单元可存放一位二进制信息。() 3.动态随机存取存储器需要不断地刷新,以防止电容上存储的信息丢失。() 4.用2片容量为16K×8的RAM构成容量为32K×8的RAM是位扩展。()5.所有的半导体存储器在运行时都具有读和写的功能。()6. ROM和RAM中存入的信息在

第八章 数字逻辑电路基础知识(清华大学出版)

第八章 数字逻辑电路基础知识 1、数字电路处理的信号是数字信号,而数字信号的时间变量是离散的,这种信号也常称为离散时间信号。 2、数字电路的特点: (1)数字信号常用二进制数来表示。 (2)数字电路中,器件常工作在开关状态,即饱和或截止状态。而模拟电路器件工作在放大状态。 (3)数字电路研究的对象是电路输入与输出的逻辑关系,即逻辑功能。而模拟电路研究的对象是电路对输入信号的放大和变换功能。 (4)数字电路的基本单元电路是逻辑门和触发器。(模拟电路单元是放大器) (5)数字电路的分析工具是逻辑代数。 (6)数字信号常用矩形脉冲表示。 脉冲幅度UM ,表示脉冲幅值; 脉冲宽度tW ,表示脉冲持续作用的时间; 周期T ,表示周期性的脉冲信号前后两次 出现的时间间隔; 3、整数转换一般采用“除基取余”法。小数的转换一般采用“乘基取整”法。 4、8421BCD 码与二进制的区别: 8421210001010001110028)()()(== BCD 码转换成二进制数是不直接的。方法是:先转成十进制数,再转成二进制数。反相转换亦是如此。 5、逻辑变量只有两个值,即0和1,0和1并不表示数量的大小,只表示两个对立的逻辑状态。 6、与逻辑运算表达式:F =A ·B =AB 7、或逻辑运算表达式: F =A+B 8、 非逻辑运算表达式: F =ā

9、数字信号常用二进制数来表示。在数字电路中,常用数字1和0表示电平的高和低。 10、当输入A 、B 均为高电平时,输出低电平当A 、B 中至少有一个。 11、TTL 是晶体管——晶体管逻辑电路的简称。输入和输出部分的开关元件均采用三极管(也称双极型晶体管),因此得名TTL 数字集成电路。 12、TTL 与非门的技术参数 : 1.电压传输特性 AB 段截止区 BC 段线性区 CD 段转折区(开门电压ON U ) DE 段饱和区 大于ON U :保证输出低电平。 13、 (1)输出高电平UOH :指逻辑门电路输出处于截止时的输出电平。(典型值UOH=3.6V , UOH (min )=2.4V 。) (2)输出低电平UOL :指逻辑门电路输出处于导通时的输出电平。(典型值UOL =0.3V ,UOL (max )=0.4V 。 (3)输入高电平UIH :由于UIH 是门电路导通时的最小输入电平,故称为开门电平UON 。 (典型值UIH =3.6V , UIH (min )=2.0V 。) (4)输入低电平UIL :保证门电路输出高电平UOH=2.4V 的最大输入电平,又称为关门电平UOFF 。(典型值UIL =0.3V , UIL (max )= 0.8V 。) 14、 扇入与扇出系数 扇入系数NI :指TTL 与非门输入端的个数。例如一个3输入端的与非门,其扇入系数NI =3。 扇出系数:用来衡量逻辑门的负载能力,它表示一个门电路能驱动同类门的最大数目。 扇出系数分为两种情况:(灌电流负载)即输出低电平:) ()(MAX IL MAX OL OL I I N = (拉电流负载)即输出为高电平:) ()(MAX IH MAX OH OH I I N =. 分别计算出低电平高电平时的扇出系数,若OH OL N N ≠,则取较小的作为电路的扇出系数。 15、平均传输延迟时间tPd 是通导延时时间tPHL 和截止延时时间tPLH 的平均值,即 tPd =(tPHL+tPLH )/2 (tPd 越小,工作速度越快) 16、前面介绍的TTL 与非门输出端不能连接在一起,否则将造成逻辑混乱和器件的损坏。(而OC 门输出端可以相连) 17、OC 门电路的特点:用外接电阻RC 代替了原来的T3、D3和R4部分。

数字逻辑第一章作业参考答案

第一章数字逻辑基础作业及参考答案 () P43 1-11 已知逻辑函数 A C C B B A F+ + = ,试用真值表、卡诺图和逻辑图表示该函数。解:(1 )真值表表示如下: 输入输出 A B C F 0000 0011 0101 0111 1001 1011 1101 1110 (2)卡诺图表示如下: 00011110 0101 1111 由卡诺图可得C B C B A F+ + ==C B C B A? ? (3)逻辑图表示如下: 1-12 用与非门和或非门实现下列函数,并画出逻辑图。 解:(1)BC AB C B A F+ = ) , , (BC AB? = (2)) + (?) + ( = ) , , , (D C B A D C B A F D C B A+ + + = 题1-12 (1) 题1-12 (2) A BC

1-14 利用公式法化简下列函数为最简与或式。 解:(2)C AB C B BC A AC F +++=C AB C B BC A AC +??= C AB C B C B A C A ++?++?+=)()()( C AB C B C C B C A C A B A ++?++++=)()( C AB C C B C B C A C AB C A C B A C B A ++++++++= C AB C C B C B C A C AB C A C B A C B A ++++++++= C = 解(3)DE E B ACE BD C A AB D A AD F +++++++= DE E B BD C A A ++++= E B BD C A +++= 解(5)))()((D C B A D C B A D C B A F +++++++++= D C AB BCD A ABCD F ++='ΘD C AB BCD +=ABD BCD += D B AC D B A D C B F ++=)++)(++(=∴ P44 1-15利用卡诺图化简下列函数为最简与或式。 解:(3))+++)(+++)(+++)(+++(=D C B A D C B A D C B A D C B A F 方法1:)+++)(+++)(+++(=D C B A D C B A D C B A F ))((D C B A D CD D A D C C A D B C B B B A AD AC B A ++++++++++++++= ))((D C B A D C A B AC ++++++= D C BD AD D C A C A C B A D B C B B A D AC ABC AC +++++++++++= D C BD AD C A D B C B B A AC +++++++= 方法2:D C AB CD B A D BC A F ++= F 的 卡 诺 图

数字逻辑与数字系统设计课程大纲

“数字逻辑与数字系统设计”教学大纲 课程编号:OE2121017 课程名称:数字逻辑与数字系统设计英文名称:Digital Logic and Digital System Design 学时:60 学分:4 课程类型:必修课程性质:专业基础课 适用专业:电子信息与通信工程(大类)开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院 一、课程的教学任务与目标 数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。 要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA 设计工具,培养学生设计较大规模的数字电路系统的能力。 本课程教学特点和主要目的: (1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。 (2)使学生掌握经典的数字逻辑电路的基本概念和设计方法; (3)掌握当今EDA工具设计数字电路的方法。 (4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.(26.125)10=(11010.001)2 =(1A.2)16 2.(100.9375)10=(1100100.1111)2 3.(1011111.01101)2=( 137.32 )8=(95.40625)10 4.(133.126)8=(5B.2B )16 5.(1011)2×(101)2=(110111)2 6.(486)10=(010*********)8421BCD =(011110111001)余3BCD 7.(5.14)10=(0101.00010100)8421BCD 8.(10010011)8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。 21.函数D B AB Y +=的最小项表达式为Y = ∑m (1,3,9,11,12,13,14,15)。 22.约束项是 不会出现 的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F (A ,B ,C )=∏M (1,3,4,6,7),则F (A ,B ,C )=∑m ( 0,2,5)。 24.VHDL 的基本描述语句包括 并行语句 和 顺序语句 。 25.VHDL 的并行语句在结构体中的执行是 并行 的,其执行方式与语句书写的顺序无关。 26.在VHDL 的各种并行语句之间,可以用 信号 来交换信息。 27.VHDL 的PROCESS (进程)语句是由 顺序语句 组成的,但其本身却是 并行语句 。 28.VHDL 顺序语句只能出现在 进程语句 内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL 的数据对象包括 常数 、 变量 和 信号 ,它们是用来存放各种类型数据

数字逻辑第一次大作业

数字逻辑第一次大作业

一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭) 输入变量输出变量数码管显 示 A B C D a b c d e f g 0000 1111110 0 000 1 0110000 1 0010 110110 1 2 001 1 111100 1 3 0100 011001 1 4 010 1 101101 1 5 0110 101111 1 6 011 1 1110000 7 1000 111111 1 8 100 1 111101 1 9 1010 111011 1 A 101 1 001111 1 B 1100 1001110 C 110 1 011110 1 D 1110 100111 1 E 111 1 100011 1 F 二.卡诺图化简: A B C D a 0000 1 000 1 0 0010 1 001 1 1 0100 0 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 1 110 1 0 1110 1 AB CD 00 01 11 10 00 1 0 1 1 01 0 1 0 1 11 1 1 1 0 10 1 1 1 1 Fa=B?D?+A?BD+A B?C?+A?C+BC+A D?

111 1 1 A B C D b 0000 1 000 1 1 0010 1 001 1 1 0100 1 010 1 0 0110 0 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 0 110 1 1 1110 0 111 1 0 A B C D c 0000 1 000 1 1 0010 0 001 1 1 0100 1 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 0 111 1 0 AB CD 00 01 11 10 00 1 1 0 1 01 1 0 1 1 11 1 1 0 0 10 1 0 0 1 Fb=B?D?+B?C?+A?C?D?+A?CD+A C?D AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 1 11 1 1 0 1 10 0 1 0 1 Fc=A?C?+A?D+A?B+A B?+C?D

《数字逻辑》(第二版)习题答案 第一章

第一章 1. 什么是模拟信号?什么是数字信号?试举出实例。 解答 模拟信号-----指在时间上和数值上均作连续变化的信号。例如,温度、压 力、交流电压等信号。 数字信号-----指信号的变化在时间上和数值上都是断续的,阶跃式的,或者说是离散的,这类信号有时又称为离散信号。例如,在数 字系统中的脉冲信号、开关状态等。 2. 数字逻辑电路具有哪些主要特点? 解答 数字逻辑电路具有如下主要特点: ●电路的基本工作信号是二值信号。 ●电路中的半导体器件一般都工作在开、关状态。 ●电路结构简单、功耗低、便于集成制造和系列化生产。产品价格低 廉、使用方便、通用性好。 ●由数字逻辑电路构成的数字系统工作速度快、精度高、功能强、可 靠性好。 3. 数字逻辑电路按功能可分为哪两种类型?主要区别是什么? 解答 根据数字逻辑电路有无记忆功能,可分为组合逻辑电路和时序逻辑电路两类。 组合逻辑电路:电路在任意时刻产生的稳定输出值仅取决于该时刻电路 输入值的组合,而与电路过去的输入值无关。组合逻辑 电路又可根据输出端个数的多少进一步分为单输出和 多输出组合逻辑电路。 时序逻辑电路:电路在任意时刻产生的稳定输出值不仅与该时刻电路的输 入值有关,而且与电路过去的输入值有关。时序逻辑电 路又可根据电路中有无统一的定时信号进一步分为同 步时序逻辑电路和异步时序逻辑电路。 4. 最简电路是否一定最佳?为什么?

解答 一个最简的方案并不等于一个最佳的方案。最佳方案应满足全面的性能指标和实际应用要求。所以,在求出一个实现预定功能的最简电路之后,往往要根据实际情况进行相应调整。 5. 把下列不同进制数写成按权展开形式。 (1) (4517.239)10 (3) (325.744)8 (2) (10110.0101)2 (4) (785.4AF)16 解答 (1)(4517.239)10 = 4×103+5×102+1×101+7×100+2×10-1 +3×10-2+9×10-3 (2)(10110.0101)2 = 1×24+1×22+1×21+1×2-2+1×2-4 (3)(325.744)8 = 3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 (4) (785.4AF)16 = 7×162+8×161+5×160+4×16-1+10×16-2 +15×16-3 6.将下列二进制数转换成十进制数、八进制数和十六进制数。 (1)1110101 (2) 0.110101 (3) 10111.01 解答 (1)(1110101)2= 1×26+1×25+1×24+1×22+1×20

数字逻辑第8章习题解答

习题 8.1 解释下列名词: 分辨率、转换精度、转换时间、量化、量化单位。 略(见书) 8.2 数字量和模拟量有何区别?D/A 转换和A/D 转换在数字系统中有何主要作用? 略(见书) 8.3 一个D/A 转换器应包含哪几部分,它们的功能是什么? 略(见书) 8.4 一个8位D/A 转换器的分辨率为多少? 解:n 位D/A 转换器的分辨率为 121 n -,因此8位D/A 转换器的分辨率为 8 14%21 =-。 8.5 图8.4所示电路为4位T 形电阻D/A 转换器。 1)试分析其工作原理,求出V O 的表达式; 2)如果已知n=8位的D/A 转换器中,V REF =-10V ,R f =3R ,输入D=11010100时,输出电压值;3)如果R f =2R ,对应(2)中的输出电压V O 又是多少? 解:1)S 3、S 2、S 1、S 0为模拟开关,分别受输入代码d 3、d 2、d 1、d 0的状态控制,也就是说输入代码的高低电平状态可控制流入集成运放A 反相输入端的电流,也就控制了输出电压的大小。从而使得输出电压与输入的数字代码成比例关系。 输出电压表达式为: 3210 R E F O 32104 3 2 1 R E F R E F 321044 (2222)323(2222)2 2 V V d d d d R R V V d d d d D =- ?+?+?+???=- ?+?+?+?=- 2)如果已知n =8位的D/A 转换器中,V REF =-10V ,R f =3R ,输入D =11010100时,同 理可推出n =8位的D/A 转换器的输出电压R E F O 8 2 V V D =- ,即O 8.3V V ≈。 3)如果R f =2R ,对应(2)中的输出电压为R E F R E F O 8 8 222332 V V V D R D R =- ?=- ??。 8.6 一个8位D/A 转换器,求:1)最小输出电压增量V LSB =0.02V ,当输入代码为01001101时,输出电压V O 为多少?2)若其分辨率用百分数表示,则为多少?3)若某一系统中要求的精度为0.25%,能不能用该D/A 转换器。 解:1)最小输出电压增量为0.02V,当输入二进制码01001101时输出电压 O LSB 0.0277V 1.54V V V D =?=?=;2)分辨率用百分数表示为0.39%;3)不能。 8.7 A/D 转换包括哪几个工作步骤,它们完成的功能是什么? 略(见书)

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

数字逻辑第七章

习 题 七 1. 用4位二进制并行加法器设计一个实现8421码对9求补的逻辑电 路。 解答 设8421码为B 8B 4B 2B 1 ,其对9的补数为C 8C 4C 2C 1 ,关系如下: 1 B B B B 1001B B B B 1001 C C C C 12481 2481248+-=-= 相应逻辑电路图如图1所示。 图 1 2. 用两个4位二进制并行加法器实现2位十进制数8421码到二进制码的转换。 解答 设两位十进制数的8421码为D 80D 40D 20D 10D 8D 4D 2D 1 ,相应二进制数为B 6B 5B 4B 3B 2B 1B 0,则应有B 6B 5B 4B 3B 2B 1B 0 = D 80D 40D 20D 10×1010+D 8D 4D 2D 1,运算如下:

× D 80 1 D 40 0 D 20 1 D 10 0 + D 80 D 40 D 80 D 20 D 40 D 10 D 8 D 20 D 4 D 10 D 2 D 1 B 6 B 5 B 4 B 3 B 2 B 1 B 0 据此,可得到实现预定功能的逻辑电路如图2所示。 图 2 3. 用4位二进制并行加法器设计一个用8421码表示的1位十进制加法器。 解答 分析:由于十进制数采用8421码,因此,二进制并行加法器输入被加数和 加数的取值范围为0000~1001(0~9),输出端输出的和是一个二进制数,数的范围为0000~10011(0~19,19=9+9+最低位的进位)。因为题目要求运算的结果也 B 6 B 5 B 4 B 3 B 2 B 1 B 0 D 80 D 8 D 20 D 10 D 2 D 4 D 10 D 1 D 20 D 40 D 40 D 80 0 T693 T693

数字逻辑设计及应用 本科3 答案82870

. . 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C /+D) ; 7、已知∑= )3,1,0(),,(m C B A F ,则∑=m F / ( 2,4,5,6,7 ) M ∏=( 2,4,5,6,7 ) ; 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入 信号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ ///D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/ A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、下面哪些器件不能够实现串行序列发生器 ①.计数器和组合门电路 ②.数据选择器和组合门电路 ③.移位寄存器和组合门电路 ④.触发器和组合门电路 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

相关文档