文档库 最新最全的文档下载
当前位置:文档库 › 电子秒表课程设计

电子秒表课程设计

电子秒表课程设计
电子秒表课程设计

课程设计

题目

学院

专业

班级

姓名

指导教师

年月日

课程设计任务书

学生姓名:专业班级:

指导教师:工作单位:

题目:电子秒表的设计与制作

初始条件:

(1)计数精度可达1/100秒

(2)可显示时间99.99秒

(3)具有开关可启动,暂停,清零功能

选作:设计可改变计时时间(最大59.99秒)的电路

要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)

(1)设计任务及要求

(2)方案比较及认证

(3)系统框图,原理说明

(4)硬件原理,完整电路图,采用器件的功能说明

(5)调试记录及结果分析

(6)对成果的评价及改进方法

(7)总结(收获及体会)

(8)参考资料

(9)附录:器件表,芯片资料

时间安排:

6月16日~6月19日:明确课题,收集资料,方案确定

6月19日~1月21日:整体设计,硬件电路调试

6月21日~6月24日;报告撰写,交设计报告,答辩

指导教师签名:2014年 6月日

目录

摘要 (4)

电子秒表的设计与制造 (5)

1 课题分析 (5)

2系统设计方案的选择 (5)

3 电子秒表系统主体流程框图 (6)

4 单元电路的设计 (7)

4.1脉冲产生电路 (7)

4.2 计数电路 (8)

.3 译码显示电路 (9)

4.4 控制电路 (10)

5 仿真测试 (10)

6 电子秒表设计原理图 (11)

7 结束语 (11)

参考文献 (12)

附录 (13)

附录一:选作:设计可改变计时时间的电路 (13)

附录二:74LS290功能表 (15)

附录三:74LS48功能表 (15)

摘要

电子秒表是一种数字显示计时装置,由于它走时准,设计简单,显示直观,因此被广泛运用于科学研究,体育运动,国防等方面。比如对物体速度,加速度的测量,体育比赛的时间的测量等。

数字电子秒表由组合逻辑电路和时序逻辑电路组成,555定时器组成多谐振荡器产生脉冲,在脉冲控制下的组合计数器电路通过一系列的触发产生数字信号,数字信号经译码器译码后输入到显示数码管显示时间。

电子秒表的广泛应用提高了人们的工作效率,随着电子技术的发展,电子秒表的精度,电路简易型等到了很大的提高,功能得到了完善。

关键词:秒表定时器效率

电子秒表的设计与制造

1 课题分析

设计要求(1)计数精度达到1/100秒(2)可显示时间99.99秒(3)具有开关可启动,暂停,清零功能。本次设计的电子秒表是由脉冲产生电路,计数电路,译码显示电路,控制电路组成。各单元电路都有多种选择,需要根据设计要求择优处理。电路设计完成需要进行仿真调试,避免一些理论设计上的缺陷。

2系统设计方案的选择

方案一:石英晶体振荡器组成脉冲源,异步二-五-十进制计数器74LS290组成计数电路,译码显示电路由74LS48和共阴极七段数码管组成

方案二:555定时器组成脉冲源,异步二-五-十进制计数器74LS290组成计数电路,译码显示电路由74LS48和共阴极七段数码管组成

方案一中晶振组成脉冲源精度高,脉冲平稳,为一般电子表内脉冲源的首选,但由于本设计的目的是加强我们对一般原理知识的理解,而且晶振的分频元件较为不易得到,所以我们选择方案二。

3 电子秒表系统主体流程框图

电子秒表系统主体流程框图

4 单元电路的设计

4.1脉冲产生电路

由555定时器组成多谐振荡器电路如上图所示,外接电阻R1,R2,电容C。输出电压Uo 波形随Uc端电压变化如图所示。

f=1.43/(R1+2R2)C

根据设计要求频率f=100HZ,所以选择R1=8.25kΩ,R2=3kΩ,C=1uF。端口3为脉冲输出端。

4.2 计数电路

计数电路由异步二-五-十进制计数器74LS290组成,将74LS290的11端接到9端则为十进制计数器。(1)当12,13端全为1,1,3端至少有一个为0时,电路输出置成0000(2)当1,3端全为1,电路输出置成1001(3)当12,13端和1,3端中至少各有一个为0时,电路成计数状态

电子秒表电路的计数电路由四个74LS290组合而成,为异步时序逻辑电路,脉冲源信号从第一个74LS290的CP端进入,电路依次计数并输出计数信号。

4.3 译码显示电路

计数电路产生的计数信号输入到译码电路,译码电路由74LS48组成。译码时,将74LS48的3,4,5端接高电平,1,2,6,7端接计数器输出,9~15端接显示电路相应输入端口。

显示电路由四个七段共阴极数码组成,原理图如下:

4.4 控制电路

电子秒表的控制电路包含清零开关,暂停/启动开关。

清零电路:

暂停/启动电路:

5 仿真测试

1.启动Multisim,对需要仿真的参数主要是脉冲产生电路输出脉冲的周期及脉冲稳定

性进行仿真调整

2.从元器件库里调取所需元器件,并按原理图连接。

3.启动测试,用示波器测试脉冲的周期和稳定性,观察数码管显示数字的跳动情况,

对不合理的地方逐步修改直至达到秒表设计要求。

6 电子秒表设计原理图

7 结束语

通过这次电子设计,我得到了很多收获和体会。第一,巩固和加深了对电子线路基本知识的理解,提高了综合运用所学知识的能力。第二,增强了根据课程需要选学参考资料,查阅手册,图表和文献资料的自学能力。通过独立思考,深入研究有关问题,学会自己分析解决问题的方法。第三,通过实际电路方案的分析比较,设计计算,元件选取,安装调试等环节,初步掌握了简单使用电路的分析方法和工程设计方法。第四,在这次电子设计过程中,理论知识和实践动手能力相结合,锻炼了自己理论联系实际的能力。第五,掌握了常用的仪器的使用方法,提高了动手能力。第六,培养了严谨的工作作风和科学态度,为以后的独立设计打下良好的基础。第七,在这次设计过程中,我对仿真软件Multisim、word、protel 等软件有了更进一步的了解,这将使我在以后的学习中能更加熟练的运用。

总体来说,这次课程设计让我理解了电子设计的科学性和严谨性,知道了不同芯片的不同用法,不同接线的不同效果。设计过程中,为了实现某一个目的,可能要设计好几个方案并对各个方案进行比较与取舍,充分锻炼了自己的设计思维。

参考文献

《电子技术基础(第五版)》数字部分康光华主编高等教育出版社2009年2月《电子技术电子设计指导》彭介华主编高等教育出版社2008年4月《工程实践与训练教程》(电工电子部分)周新民主编

附录

附录一:选作:设计可改变计时时间的电路

附录二:74LS290功能表

附录三:74LS48功能表

本科生课程设计成绩评定表

指导教师签字:

年月日

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

电子秒表课程设计报告

电子信息工程专业10级学生单片机原理课程设计任务书 电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、设计方案分析

1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H-33H中。其中31H存放分钟变量,32H存放秒钟变量,33H存放10ms计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。显示时,先取出31H -33H某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 INT中断完成,定时溢出中断周期为1ms,当一处中断后向CPU 计时通过1 发出溢出中断请求,每发出一次中断请求就对毫秒计数单元进行加一,达到10次就对十毫秒位进行加一,依次类推,直到4.59.99秒重新复位。 再看按键的处理。这两个键可以采用中断的方法,也可以采用扫描的方法来识别。复位键主要功能在于数值复位,对于时间的要求不是很严格。而开始和停止键则是用于对时间的锁定,需要比较准确的控制。因此可以对复位按键采取扫描的方式。而对开始和停止键采用外部中断的方式。 设计中包括硬件电路的设计和系统程序的设计。其硬件电路主要有主控制 器,显示电路和回零、启动、查看、停表电路等。主控制器采用单片机STC89C52,

电子秒表课程设计

课程设计 题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目:电子秒表的设计与制作 初始条件: (1)计数精度可达1/100秒 (2)可显示时间99.99秒 (3)具有开关可启动,暂停,清零功能 选作:设计可改变计时时间(最大59.99秒)的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~1月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

目录 摘要 (4) 电子秒表的设计与制造 (5) 1 课题分析 (5) 2系统设计方案的选择 (5) 3 电子秒表系统主体流程框图 (6) 4 单元电路的设计 (7) 4.1脉冲产生电路 (7) 4.2 计数电路 (8) .3 译码显示电路 (9) 4.4 控制电路 (10) 5 仿真测试 (10) 6 电子秒表设计原理图 (11) 7 结束语 (11) 参考文献 (12) 附录 (13) 附录一:选作:设计可改变计时时间的电路 (13) 附录二:74LS290功能表 (15) 附录三:74LS48功能表 (15)

摘要 电子秒表是一种数字显示计时装置,由于它走时准,设计简单,显示直观,因此被广泛运用于科学研究,体育运动,国防等方面。比如对物体速度,加速度的测量,体育比赛的时间的测量等。 数字电子秒表由组合逻辑电路和时序逻辑电路组成,555定时器组成多谐振荡器产生脉冲,在脉冲控制下的组合计数器电路通过一系列的触发产生数字信号,数字信号经译码器译码后输入到显示数码管显示时间。 电子秒表的广泛应用提高了人们的工作效率,随着电子技术的发展,电子秒表的精度,电路简易型等到了很大的提高,功能得到了完善。 关键词:秒表定时器效率

数电课程设计:电子秒表

2013 - 2014学年第1学期 《数字电子技术基础》 课程设计报告 题目:电子秒表 专业:自动化 班级:自动化 姓名: 指导教师: 成绩: 2015年8月25日

课程设计任务书 学生班级:自动化学生姓名:学号: 设计名称:电子秒表 起止日期:2015.8.22——2015.9.05 指导教师:

摘要 秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100Hz;计时最长时间为10min,6位显示器,显示时间最长为9m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,我们先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器多谐振荡器

目录 第一章方案设计与论证 .................................................. - 5 - 第二章单元电路设计与参数计算 .......................................... - 5 - 2.1 时钟脉冲发生和控制信号.......................................... - 5 - 2.2 启动与停止电路.................................................. - 6 - 2.3 清零电路设计.................................................... - 7 - 第三章总电路工作原理及元器件清单 ...................................... - 7 - 3.1 电路完整工作过程描述(总体工作原理)............................ - 7 - 3.2 总原理图:(见下图3-1)......................................... - 7 - 第四章主要芯片介绍.................................................... - 9 - 4.1 74LS00 .......................................................... - 9 - 4.1 74LS160 ......................................................... - 9 - 第五章仿真............................................................ - 9 - 自我评价.............................................................. - 13 -

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

数字电子秒表课程设计报告

重庆机电职业技术学院课程设计说明书 设计名称:单片机原理设计 题目:数字电子秒表 学生姓名: X X 专业:电气自动化 班级: 1 班 学号: XXXXXXXXXXXXXXX 指导教师: X X X 日期: 2010 年 6 月 16 日

重庆机电职业技术学院 课程设计任务书 电气自动化专业2008 年级 1 班XX 一、设计题目 数字电子秒表设计 二、主要内容 利用独立式按键AN1(P0.0)启动定时器T0计时,AN2(P0.1)停止用于停止定 时器T0计时,使用2个八段数码管输出记时值,秒钟的计时时间范围在0~99秒内。 三、具体要求 3.1、实验电路连线 ①本实验中要把跳线JP1(板子右上角,LED灯正上方)跳到DIG上,J23(在黄色继电器右上方)接到右端;把跳线J9(紧贴51插座右方,蜂鸣器下方,RST复位键上方)跳到右端;把跳线J6跳到AN端,AN1(P0.0)~ AN4(P0.4),(J6在51插座右下方,4×4键盘左上方)。 3.2、实验说明 ①本实验中要将记时结果送2个数码管中显示,这可通过调用编写的显示子程序来实现,实现过程是:先将记时值一位一位的拆开,分别送到显示缓冲区(片内数据存储30H~35H设定为显示缓冲区用于存放段码数据, 其中32H~35H里面均存放0的段码0DFH)中去,然后调用显示子程序。②与定时器有关的寄存器有工作方式寄存器TMOD和控制寄存器TCON。TMOD用于设置定时器/计数器的工作方式0-3,并确定用于定时还是用于计数。TCON主要功能是为定时器在溢出时设定标志位,并控制定时器的运行或停止等。本实验中用定时器T0产生1秒钟基本时间单位,本系统fosc=11.0592MHz,当定时器T0工作在方式1(16位)时,最大定时时间为:216* 0.9216μs= 60397.9776μs;再利用软件记数,当T0中断17次时,所用时间为60397.9776*17=1026765.6192μs≈1s因此在T0中断处理程序中,要判断中断次数是否到17次,若不到17次,则只使中断次数加1,然后返回,若到了17次,则使电 子秒表记时值加1(十进制),请参考硬件实验四有关内容。③使用独立式按键 AN1(P0.0)~ AN2(P0.1)时要注意采用软件消抖动的方法,一般采用软件延时(10ms)的方法,即通过P0.0和P0.1的输入值的变化控制秒表的启动和停止。 3.3

数电课程设计电子秒表

电子电路课程设计题目名称:电子秒表课程设计 姓名: 学号: 专业班级:

一.设计任务及功能简介 (1)显示分辨率为1s/100,外接系统时钟频率为100KHz。 (2)计时最长时间为1h,六位显示器,显示时间最长为59m59.99s。 系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键 二.设计框原理 三.各单元电路的设计及使用说明

1.启动和停止单元电路 由一个开关控制CP脉冲,从而控制秒表的启动与停止 2.秒脉冲电路 LM555CN定时器构成的多谐振荡器,是一种性能较好的时钟源。该脉冲电路产生100KHz的脉冲(MULTISIM仿真太慢,调快了频率) 3. 100进制计数器

由两片74ls160级联组成,采用了串行的方式,低位片的进位端RCO接低位片的CLK端。 4. 60进制计数器 60进制计数器采用串行整体置数的方式级联,将电路的59状态译码产生的低电平信号接到两片计数器的同步置数端,当下一个脉冲到来的时候同时把0000置入两片74ls160计数器中,从而得到六十进制计数器。 5.计数与译码显示

十进制加法计数器74LS160构成电子秒表的计数单6.电子秒表总设计图 四.主要芯片介绍 1.74LS00

其真值表及管脚图为: 2.74LS160 74LS160 十进制同步计数器(异步清零)其真值表如下( H:高电平,L:低电平,↑:上升沿,X:任意,D0 ~D3 :A~D 稳态输入电平) 五.总电路的仿真 Inputs 输入 Ouput 输出 A B Y L L H L H H H L H H H L 输入 输出 Cr LD S1 S2 CP A B C D QA QB QC QD L X X X X X X X X L L L L H L X X ↑ D0 D1 D2 D3 D0 D1 D2 D3 H H H H ↑ X X X X 计数 H H L X X X X X X 保持 H H X L X X X X X 保持

基于单片机的秒表课程设计

摘要 本设计的数字电子秒表系统采用AT89S52单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及外部中断电路来设计计时器。将软、硬件有机地结合起来,使得系统能够实现LED显示,显示时间为0~99秒,计时精度为1秒,能正确地进行计时。其中软件系统采用C语言编写程序,包括显示程序,定时中断服务,外部中断服务程序,延时程序等,并在WAVE中调试运行,硬件系统利用PROTEUS强大的功能来实现,简单且易于观察,在仿真中就可以观察到实际的工作状态。 关键词:电子秒表;AT89S52单片机;C语言

目录 摘要.............................................................. I 1 系统原理介绍. (1) 1.1设计任务及功能要求说明 (1) 1.2数字式秒表的方案介绍及工作原理说明 (1) 2数字式秒表硬件系统的设计 (3) 2.1数字式秒表硬件系统各模块功能简要介绍 (3) 2.1.1 AT89S52简介 (3) 2.1.2时钟电路 (3) 2.1.3键盘电路 (4) 2.1.4复位电路 (4) 2.2 数字式秒表的硬件系统设计图 (5) 3 数字式秒表软件系统的设计 (6) 3.1 数字式秒表使用单片机资源情况 (6) 3.2 主程序流程图 (6) 3.3中断服务程序流程图 (7) 3.4显示程序流程图 (8) 3.5软件系统程序清单 (8) 按照流程图应用软件keil汇编语言编程实现秒表功能。程序见附录3。. 8 4 系统调试与仿真 (9) 4.1 数字式秒表的设计结论及使用说明 (9) 4.2 调试软件介绍 (9) 4.3 程序仿真与结果 (9) 4.4 误差分析及解决方法 (10) 总结 (11) 参考文献 (12) 致谢 (13) 附录1:系统原理图 (14) 附录2:程序清单 (15)

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

数电课程设计-30秒倒计时器

. 定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13

时间:2015年1月4日 目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1.具有30S减计时功能,计时时间到后发出声光报警信号(点亮LED,喇叭鸣叫); 2.计时时间精确(用石英振荡器产生秒信号); 3.用数码管显示剩余时间; 4.具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减

电子秒表课程设计报告

西安郵電學院 控制系统课程设计报告书 系部名称:信息与控制系 学生姓名:XXX 专业名称:测控技术与仪器 班级:测控XXXX 2010年9月13日至 时间: 2010 年9月26日

电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、 设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H -33H 中。其中31H 存放分钟变量,32H 存放秒钟变量,33H 存放10ms 计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD 码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD 码数据的对应段码存放在ROM 表中。显示时,先取出31H -33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过1INT 中断完成,定时溢出中断周期为1ms ,当一处中断后向CPU

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

电子秒表课程设计

电子秒表课程设计报告 目录 一、设计要求 (2) 二、设计的目的与作用 (2) 三、设计的具体体现 (2) 1. 电子秒表的基本组成 (3) 2.电子秒表的工作原理 (3) 3.电子秒表的原理图 (4) 4. 单元电路设计 (4) 5.设计仿真与PCB制版 (12) 四、心得体会 (17) 五、附录 (18) 六、参考文献 (20)

一、设计要求 1.以0.01秒为最小单位进行显示。 2.秒表可显示0.01~59:59:99秒的量程。 3.该秒表具有清零、开始计时、停止计时功能。 二、设计方案 方案一:通过单片机来实现电子秒表 基于51单片机电子秒表,设计简单,而且技术准确,缺点是价格相比于数字电路实现的秒表技术要昂贵。 方案二:采用数字电路来实现秒表计数,优点是价格便宜,计数精确,反应较快,缺点是,电路芯片较多,设计电路复杂。 经过比较选择了较为经济适用的数字电路。 二、设计的目的与作用 1.培养我们运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计和研究成果。 2. 熟悉555方波振荡器的应用。 3.熟悉计数器的级联及计数、译码、显示电路的整体配合。

4.建立分频的基本概念。 三、设计的具体体现 1.电子秒表的基本组成 电子秒表电路的基本组成框图如图所示,它主要由基本RS 触发器、多谐振荡器、计数器和数码显示器4个部分组成。 电子秒表电路的基本组成(方框图)如下: 图(1)电子秒表基本组成方框图 2.电子秒表的工作原理 由555定时器构成多谐振荡器,用来产生50Hz 的矩形波。第Ⅰ块计数器作5分频使用,将555输来的50Hz 的脉冲变为0.1秒的计数脉冲,在输出端Qd 取得,作为第2块计数器的始终输入,第2、第3块计数器QA 与CP2相连,都已接成8421码十进 基本RS 触发器 多谐振荡器 单稳态触发器 计数器 译码显示器

数电课程设计数字电子秒表设计

永州职业技术学院 课 程 设 计 课程名称:数字电子技术 题目:时钟脉冲与计数系统的设计系、专业:电子系、应用电子专业 年级、班级:10级电子大专班 学生姓名:赵恒 指导老师:祝敏 时间: 2011年01月

目录 1. 引言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 2. 电路分析 (2) 2.1设计电路图 (2) 2.2电路分析 (3) 2.2.1时钟脉冲发生器 (3) 2.2.2计数器 (5) 2.2.3译码器 (6) 2.2.4数码管 (7) 3. 设计步骤 (7) 3.1设备与元件 (7) 3.2测试调整 (7) 3.2.1时钟发生器的测试 (7) 3.2.2计数器的测试 (7) 3.2.3电子秒表的整体测试 (8) 3.2.4电子秒表准确度的测试 (8) 4. 参考文献 (8) 5. 设计心得 (8)

数字电子秒表设计 1. 引言 电子秒表在生活中的应用,它可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。有机械秒表和电子秒表两类。机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒。广泛应用于科学研究、体育运动及国防等方面在当今非常注重工作效率的社会环境中,定时器能给我们的工作、生活以及娱乐带来很大的方便,充分利用定时器,能有效的加强我们的工作效率。 数字电子秒表是利用数字电子技术把模拟信号转换成数字信号来完成的,具有直观、准确性高的特点。 1.1 设计目的 1.建立数字电子电路系统的基本概念; 2.运用CD4060分频器的应用,计数器的级联及其计数、译码、显示电路的整体配合; 3.建立分频的基本概念。 1.2 设计要求 设计一个数字电子秒表,该秒表具有显示功能和清零、开始计时、停止计时等功能。 设计的要求如下: 1.以1秒为最小单位进行显示; 2.秒表可显示0~9秒的量程; 3.该秒表具有清零、开始计时、停止计时功能; 4.除了以上功能,个人可根据具体情况进行电路功能扩展。 1.3 设计内容 1.搭接电子秒表的整体设计电路;

电子秒表课程设计

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

电子秒表微机课程设计

课程设计报告 课程设计名称:电子秒表 系: 学生姓名: 班级: 学号: 成绩: 指导教师: 开课时间:2013-2014 学年 1 学期

目录 一、设计题目-------------------------------------------------------------3 二、主要内容-------------------------------------------------------------3 三、基本要求-------------------------------------------------------------3 四、设计原理与硬件电路----------------------------------------------3 五、程序流程图---------------------------------------------------------11 六、程序代码-------------------------------------------------------- ---12 七、程序运行结果------------------------------------------------------19 八、进程安排------------------------------------------------------------21 九、心得收获------------------------------------------------------------21 十、成绩评定------------------------------------------------------------22 十一、参考资料---------------------------------------------------------22

电子秒表课程设计

湖南人文科技学院 课程设计报告 课程名称:电子技术课程设计 设计题目数字电子秒表 系别: 专业: 班级: 学生姓名: 学号: 起止日期: 指导教师: 教研室主任:

摘要 本次设计的数字电子秒表以555定时器为核心,由多谐振荡电路,计数译码显示电路,控制电路三大主要模块构成。由NE555定时器组成的多谐振荡电路通过控制阻值产生10Hz,1Hz的脉冲;输入由74LS192芯片组成的计数电路、74LS48组成的译码电路在数码管FJS5101显示器上输出,以上部分组成计数译码显示电路;通过控制电路实现复位,置数功能,灵活启动停止。电路是采用外接电源来实现的。经过仿真、布线、制板等工作,数字秒表成形。本组在此次设计过程中主要是先分析设计要求,根据提出的设计要求选取合适的芯片,再用multisim 10 画出电路图,进行仿真。再用Prote 2004 Sp2绘制原理图和PCB图,并把PCB图转印到印制板上完成焊接和调试等工作。最终完成数字电子秒表的工作。 关键词:NE555定时器;74LS192计数器;74LS48译码器;控制电路

目录 1、方案论证与对比 (1) 1.1 方案一 (1) 1.2方案二 (2) 1.3方案的对比与选择 (2) 2、数字电子秒表总体方案的分析与设计 (3) 2.1电子秒表电路总图 (3) 2.2控制电路 (4) 2.3 脉冲产生原理 (5) 2.4计数译码显示单元 (7) 2.4.1 计数器 (8) 2.4.2 译码器 (9) 2.4.3 七段显示数码管 (11) 3、调试与检测 (12) 3.1调试方法 (12) 3.2调试故障的原因与排除 (13) 3.3调试结果 (14) 4、总结与致谢 (14) 5、参考文献 (16) 6、附录 (17) 附录一元件清单 (17) 附录二总电路的PCB图 (17) 附录三总电路的仿真图 (18)

数电课设--带proteus仿真的电子秒表设计

工业大学 课程设计 课程:数电课程设计 题目:电子秒表 专业班级: 通信工程1003班 学号: 100404308、309、315

学生: 路、智佳、吴开来 指导教师: 柏山 完成时间: 2013年6月25日 目录 第1章设计要求.................................. 第2章设计方案.................................. 第3章总电路设计思路..................... 第4章分解电路的设计及说明......... 第5章电路的仿真 ............................. 第6章设计总结及心得体会............. 参考文献: ............................................

附录:..................................................... 第1章设计要求 结合数字逻辑电路知识,设计或分析下述功能电路,利用Proteus软件对电路进行功能仿真,并基于仿真结果对电路进行功能改进。给出仿真机及分析过程及结果。 设计参数: 1.设计可控的计数器(定时器)、分频器、键去抖电路和动态扫描显示电路;

2.设计系统顶层电路; 3.进行功能仿真和时序仿真; 4.对仿真结果进行分析,确认仿真结果达到了设计要求: 1.分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。 2.确定合理的结构方案,对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较并考虑器件的来源,敲定可行方案。 3.设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。 4.组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。 第2章设计方案 方案一:实现秒表的功能有很多种,该方案采用的是用555定时器产生一个1000HZ的秒脉冲,然后

相关文档
相关文档 最新文档