文档库 最新最全的文档下载
当前位置:文档库 › 武汉理工大学数字电子技术期末考试题答案

武汉理工大学数字电子技术期末考试题答案

武汉理工大学数字电子技术期末考试题答案
武汉理工大学数字电子技术期末考试题答案

1) 111101;75;3D ;01100001;10101.101;25.5;15.A ;00100001.011000100101

2) 0,1,2,3,5

3) 量化

4) 8;32K

5) 正;竞争与冒险

6) K=0,J 任意

7) 开关

8) 石英晶体固有谐振频率

9) 或非;逻辑0

10) 锁存器

代数化简:

B

C B C B B A C C B B A C C AB C B B A C C

AB C B B A C C

AB C B B A A C C

AB C B BC A AC F ?=+=+?+=+?+=++?+=+++=+++=+++=)()()1(

(2)

约束条件可以转化为

∑)15,11,7,5,3,1(d ,卡诺图

如上所示:

(a) 接小电阻到电源。(b) 外接上拉电阻到电源才能正常工作。

根据条件列出了多数表决器的真值表,得到,

ABC C AB C B A BC A m ABC L +++==∑)7,6,5,3()(

将2/4线译码器74ls139扩展为3/8线译码器

根据结果设置信号。(5分)

D

D A D A D A F ??=+=+

=)

()()()(),,(101010101010101010101010Z X Z X S S Z X Z X S S Z X S S X Z X S S Z X S S Z X S S Z X S S Z X S S Z X S S X S S Z X S S X S S Y ?+??+?+??+??++??=???+???+???+???+???+??+???

=

首先将74161配置成五进制递增计数器;然后将其低3位输出Q 2、Q 1、Q 0按最小项表达式 )7,6,5()3,1(),,(∑∑+=d m C B A L 组合输出即可。

1) 同步

2) 驱动方程:n n Q A K Q J 1010?==,,1101==K Q J n ,

3) 特性方程:↓+=+CP 1n n n Q K Q J Q

4) 状态方程:↓??+?=+CP :0101100n n n n n Q Q A Q Q Q FF ,↓?=+CP :10

111n n n Q Q Q FF 5) 输出方程:n n Q AQ Z

10=

6) 状态转换表及状态转换图:

7) 结论:是一个模4可逆二进制计数器。

状态转换表与驱动表

27.0R t PL =,C R R R t W PH )(7.021++=,PH PL t t f +=1

电工电子技术期末考试试题及答案汇总

成绩统计表 专业班级____________ 考生姓名:____________ 学号_______ 请将选择题答案填入下表: 一.选择(20分、2分/题) 1.变压器降压使用时,能输出较大的____b_____。 A、功率 B、电流 C、电能 D、电功 2.三相异步电动机旋转磁场的旋转方向是由三相电源的________b_决定。 A、相位 B、相序 C、频率 D、相位角3.电气控制线路原理图中,触头的位置是处于______a___。A、未通电状态B、通电状态C、根据情况确定状态4.为保证机床操作者的安全,机床照明灯的电压应选____d_____。 A、380V B、220V C、110V D、36V以下5.关于提高功率因数的说法,正确的是( c ) A.在感性负载上并联电感可以提高功率因数 B.在感性负载上并联电容可以降低功率因数

C.在感性负载上并联电容可以提高功率因数 6.乙类互补对称式功放电路,其输出波形的交越失真是指( c )。A.频率失真B、相位失真C、波形过零时出现的失真D、幅度失真 7.稳压管的动态电阻(b )稳压性能越好。 A、越大 B、越小 C、较合适 D、不一定 8.运算放大器电路如图所示,该电路中反馈类型为( )。a (A) 串联电压负反馈(B) 串联电流负反馈 (C) 并联电压负反馈(D) 并联电流负反馈 ∞ 9.单稳态触发器的输出状态有(a) A、一个稳态、一个暂态 B、两个稳态 C、只有一个稳态 D、没有稳态 10.一个8选1多路选择器,输入地址有 c 。

A、2位 B、3位 C、4位 D、8位 二、计算题(70分) 1.已知图5所示电路中U S1=24V,U S2=6V,R1=12Ω,R2=6Ω,R3=2Ω,试用戴维宁定理求流过电阻R3中的电流I3。(10分) a I3 b 2.如图所示R-L串联电路,R=280Ω,R L=20Ω,L=1.65H,电源电压U=220V,电源频率为50H Z。(10分)

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)

由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o

第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表

电力电子技术期末考试试题及答案(史上最全)

电力电子技术试题 第1章电力电子器件 1.电力电子器件一般工作在__开关__状态。 2.在通常情况下,电力电子器件功率损耗主要为__通态损耗__,而当器件开关频率较高 时,功率损耗主要为__开关损耗__。 3.电力电子器件组成的系统,一般由__控制电路__、_驱动电路_、 _主电路_三部分组成, 由于电路中存在电压和电流的过冲,往往需添加_保护电路__。 4.按内部电子和空穴两种载流子参与导电的情况,电力电子器件可分为_单极型器件_ 、 _ 双极型器件_ 、_复合型器件_三类。 5.电力二极管的工作特性可概括为_承受正向电压导通,承受反相电压截止_。 6.电力二极管的主要类型有_普通二极管_、_快恢复二极管_、 _肖特基二极管_。 7.肖特基 二极管的开关损耗_小于_快恢复二极管的开关损耗。 8.晶闸管的基本工作特性可概括为 __正向电压门极有触发则导通、反向电压则截止__ 。 | 9.对同一晶闸管,维持电流IH与擎住电流IL在数值大小上有IL__大于__IH 。 10.晶闸管断态不重复电压UDSM与转折电压Ubo数值大小上应为,UDSM_大于__Ubo。 11.逆导晶闸管是将_二极管_与晶闸管_反并联_(如何连接)在同一管芯上的功率集成器件。 的__多元集成__结构是为了便于实现门极控制关断而设计的。 的漏极伏安特性中的三个区域与GTR共发射极接法时的输出特性中的三个区域有对应关系, 其中前者的截止区对应后者的_截止区_、前者的饱和区对应后者的__放大区__、前者的非饱和区对应后者的_饱和区__。 14.电力MOSFET的通态电阻具有__正__温度系数。 的开启电压UGE(th)随温度升高而_略有下降__,开关速度__小于__电力MOSFET 。 16.按照驱动电路加在电力电子器件控制端和公共端之间的性质,可将电力电子器件分为_电压驱动型_和_电流驱动型_两类。 的通态压降在1/2或1/3额定电流以下区段具有__负___温度系数,在1/2或1/3额定电流以 上区段具有__正___温度系数。 18.在如下器件:电力二极管(Power Diode)、晶闸管(SCR)、门极可关断晶闸管(GTO)、电力晶体管(GTR)、电力场效应管(电力MOSFET)、绝缘栅双极型晶体管(IGBT)中,属 于不可控器件的是_电力二极管__,属于半控型器件的是__晶闸管_,属于全控型器件的是_ GTO 、GTR 、电力MOSFET 、IGBT _;属于单极型电力电子器件的有_电力MOSFET _,属于双 极型器件的有_电力二极管、晶闸管、GTO 、GTR _,属于复合型电力电子器件得有 __ IGBT _;在可控的器件中,容量最大的是_晶闸管_,工作频率最高的是_电力MOSFET,属于电压驱动 的是电力MOSFET 、IGBT _,属于电流驱动的是_晶闸管、GTO 、GTR _。 . 第2章整流电路 1.电阻负载的特点是_电压和电流成正比且波形相同_,在单相半波可控整流电阻性负载电路中,晶闸管控制角α的最大移相范围是_0-180O_。 2.阻感负载的特点是_流过电感的电流不能突变,在单相半波可控整流带阻感负载并联续 流二极管的电路中,晶闸管控制角α的最大移相范围是__0-180O _ ,其承受的最大正反向电压均为___,续流二极管承受的最大反向电压为___(设U2为相电压有效值)。 3.单相桥式全控整流电路中,带纯电阻负载时,α角移相范围为__0-180O _,单个晶闸管 所承受的最大正向电压和反向电压分别为__ 和_;带阻感负载时,α角移相范围为_0-90O _, 单个晶闸管所承受的最大正向电压和反向电压分别为___和___;带反电动势负载时,欲使电阻上的电流不出现断续现象,可在主电路中直流输出侧串联一个_平波电抗器_。 4.单相全控桥反电动势负载电路中,当控制角α大于不导电角时,晶闸管的导通角=_π-α-_; 当控制角小于不导电角时,晶闸管的导通角=_π-2_。

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

电子技术期末考试试卷及答案

2、射极输出器电路中,输出电压U o 与输入电压u i 之间的关系是( )。 (a ) 两者反相,输出电压大于输出电压 (b ) 两者同相,输出电压近似等于输入电压 (c ) 两者相位差90 ,且大小相等 3、为了放大变化缓慢的信号或直流信号,多级放大器级与级之间必须采 用( )。 (a ) 阻容耦合 (b ) 变压器耦合 (c ) 直接耦合 汁侶吗llTFF (咏宀、 方 亠z-r /咏宀\ 命题教帅(签字) 试做教师(签字) 系、室土任(签字) )匚记标修重 ................ 名姓 题号 -一- _ 二 _ 三 四 五 七 八 合计 满分 32 10 8 10 6 7六 10 12 丿八 12 100 实得分 评阅人 得分 、单项选择题:在下列各题中,将唯一正确的答案代码填 入括号内(本大题共16小题,总32分) 1、 电 路如图 所 示, 所有二极管 状 态为 ( )。 ⑻ D 1导 通,D 2、 D 3 截 止 (b) D 1、 D 2截止 D 3导通 (c) D 1、 D 3截止, D 2导 通 (d) D 1、 D 2、D 3均 截 止 均为理想元件,则D ,、D 2、D 3的工作 12V +6V J 1 ----------------- W D2 Ld D3 白R 0V D i

⑻L i、C i组成的电路 (b)L、C组成的电路 (c)L2、&组成的电路 +U cc 5、正弦波振荡器如图所示,为了获得频率可调的输出电压,则应该调节的电阻是()。 ⑻R i (b)R F(c)R U o 6、模拟电路中晶体管大多工作于()。 ⑻放大状态(b)开关状态(c)击穿状态 7、数字电路中的工作信号为()。 ⑻随时间连续变化的电信号(b)脉冲信号(c)直流信号 8、逻辑电路如图所示,分析图中C, J, K的波形。当初始状态为“ 0” 时,输出Q是“1”的瞬间为()。 ⑻t i (b) t2 (c) t3

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

《模拟电子技术》大学期末考试题及答案(七)

《模拟电子技术》模拟试题七 一、选择题(每空2分,共34分) 1、三端集成稳压器CXX7805的输出电压是() A 5v B 9v C 12v 2、测某电路中三极管各极电位分别是0 V、-6V、0.2V则三极管的三个电极分别是(),该管是()。 A (E、C、B) B(C、B、E) C(B、C、E) D(PNP) E(NPN) 3、共射极放大电路的交流输出波形上半周失真时为()失真。共射极放大电路的交流输出波形下半周失真时为()失真。 A 饱和 B 截止C交越D频率 4、差分放大电路是为了()而设置的。 A稳定Au B放大信号C抑制零点漂移 5、对功率放大器的主要要求有()()() A Uo高 B Po大C效率高 D Ri大 E 波形不失真 6、LM386是集成功率放大器,它可以使电压放大倍数在()变化。 A 0-20 B 20 -200 C 200-1000 7、单相桥式整流电容波电路输出电压平均在Uo=( )U2。 A 0.45 B 0.9 C 1.2 8、当集成运放线性工作时,在两条分析依据()()。 A U-=U+ B I-=I+=0 C Uo=Ui D Au=1 9、对功率放大器的主要要求有()()()。 A Uo高 B Po大C效率高 D Ri大 E 波形不失真 10、振荡器的输出信号最初是由()而来的。 A基本放大器 B 选频网络C干扰或噪声信号 二、填空题(每空1分,共32分) 1、自由电子为()载流子,空穴为()载流子的杂质半导体称为()半导体。 2、PN结的单向导电性,就是PN结正偏时(),反偏时()。 3、扩展运动形成的电流是()电流,漂移运动形成的电流是()。 4、所谓理想二极管就是当其正偏时,结电阻为(),等效成一条直线;当其反偏时,结电阻为(),等效成开断。 5、场效应管的漏极电流ID=( ),所以它是()控制文件。 6、当温度升高时三极管的集电极电流IC(),电流放大系数β

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

电工电子技术期末考试试题及答案

专业班级____________ 考生姓名:____________ 学号_______ 一.选择(20分、2分/题) 1.变压器降压使用时,能输出较大的____b_____。 A、功率 B、电流 C、电能 D、电功 2.三相异步电动机旋转磁场的旋转方向是由三相电源的 ________b_决定。 A、相位 B、相序 C、频率 D、相位角 3.电气控制线路原理图中,触头的位置是处于______a___。 A、未通电状态 B、通电状态 C、根据情况确定状 态 4.为保证机床操作者的安全,机床照明灯的电压应选 ____d_____。 A、380V B、220V C、110V D、36V以下 5.关于提高功率因数的说法,正确的是( c ) A.在感性负载上并联电感可以提高功率因数

B.在感性负载上并联电容可以降低功率因数 C.在感性负载上并联电容可以提高功率因数 6.乙类互补对称式功放电路,其输出波形的交越失真是指( c )。 A.频率失真 B、相位失真 C、波形过零时出现的失真 D、幅度失真 7.稳压管的动态电阻( b )稳压性能越好。 A、越大 B、越小 C、较合适 D、不一定 8.运算放大器电路如图所示,该电路中反馈类型为( )。a (A) 串联电压负反馈(B) 串联电流负反馈 (C) 并联电压负反馈(D) 并联电流负反馈 -+∞ + u O u i 9.单稳态触发器的输出状态有( a) A、一个稳态、一个暂态 B、两个稳态 C、只有一个稳态 D、没有稳态 10.一个8选1多路选择器,输入地址有 c 。 A、2位 B、3位 C、4位 D、8位 二、计算题(70分) 1.已知图5所示电路中U S1=24V,U S2 =6V,R 1 =12Ω,R 2 =6 Ω,R 3=2Ω,试用戴维宁定理求流过电阻R 3 中的电流I 3 。(10分) a I

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

电工电子技术期末考试试卷及答案

《电工电子技术基础》期末考试试卷 (闭卷) 9、基本门电路有与门、或门和非门。 10、能够实现“有0出1,全1出0”逻辑关系的门电路是与非门。 11、能够实现“有1出0,全0出1”逻辑关系的门电路是或非门。 12、能够实现“相异出1,相同出0”逻辑关系的门电路是异或门。 13、在交流电中,电流、电压随时间按正弦规律变化的,称为正弦交流电。正弦交流电的三要素是指最大值、角频率、初相位。 14、工频电流的频率f= 50 Hz。 15、设u=311sin314t V,则此电压的最大值为 311V ,频率为 50HZ ,初相位为 0 。 16、在如图所示的电路,已知I1 = 1A,I2 = 3A ,I5 =4.5A,则I3 = 4 A,

I4 = 0.5 A,则I6 = 3.5 A。 17、半导体三极管是由发射极、基极、集电极三个电 极,发射结、集电结两个PN结构成。 18、三极管按其内部结构分为 NPN 和 PNP 两种类型。 19、晶体三极管作共射组态时,其输入特性与二极管类似,但其输出特性 较为复杂,可分为放大区外,还有截止区和饱和区。 20、二极管具有单相导电性特性。 二、单项选择题(每小题2分,共10分) 1、如图所示电路中,电压表的内阻Rv为20KΩ,则电压表的指示为( B )。 20KΩ 20KΩ A.5V B.10V C.15V D.30V 2、在三相交流电路中,当负载为对称且三角型连接时,线电流与相电流的 相位关系是( D )。 A. 线电压超前相电压300 B. 线电压滞后相电压300 C. 线电流超前相电流300 D. 线电流滞后相电流300 3、叠加定理可以用在线性电路中不能叠加的是( C )。 A、电压 B、电流 C、功率 D、电动势 4、如图所示电路中,若电阻从2Ω变到10Ω,则电流i( C )。 R i s A.变大 B. 变小 C.不变 D.不确定

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术基础习题及答案

《数字电子技术》习题 一. 单项选择题: 1.十进制数128的8421BCD码是()。 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与或表达式 3. 已知函数的反演式为 ,其原函数为()。 A. B. C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的:(A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器 C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为 I OL(max)=10mA,输出高电平时最大输出电流为 I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: __________________________________________________________ _____; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5. 组合逻辑电路是指电路的输出仅由当前的_____________决定。 6. 5个地址输入端译码器,其译码输出信号最多应有 _____________个。 7. 输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做 _____________。 8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。 9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8. 基本RS触发器的约束条件是_____________。 三.电路分析题(36分)

电子技术基础期末考试考试题及答案

电子技术基础期末考试考试题及答 案 部门: xxx 时间: xxx 整理范文,仅供参考,可下载自行编辑

触发器,输入信号=0,A.Q=0 B.Q=0C.=0 D.=1脉冲作用下, A.1 B.D C.0 D. 9.下图所示可能是鈡控同步RS 触发器真值表的是<) 10.电路如下图所示,若初态都为0,则的是<) 11.五位二进制数能表示十进制数的最大值是<) A.31B.32C.10 D.5 12.n 个触发器可以构成最大计数长度为的计数器<) A.n B.2n C.n2 D.2n 13.一个4位二进制加法计数器起始状态为0010,当最低位接收到10个脉冲时,触发器状态为<) A.0010 B.0100 C.1100 D.1111 14.下图所示的电路中,正确的并联型稳压电路为<) 15.在有电容滤波的单相桥式整流电路中,若要使输出电压为60V ,则变压器的次级电压应为<) A.50VB.60VC.72VD.27V 二、判断题<本大题共5小题,每小题3分,共15分)<对打√,错打×) 16.P 型半导体中,多数载流子是空穴< ) 17.环境温度升高时,半导体的导电能力将显著下降< ) 18.二极管正偏时,电阻较小,可等效开关断开<) 19.稳压二极管工作在反向击穿区域<) 20.光电二极管是一种把电能转变为光能的半导体器件<)

注:将 选择题 和判断 题答案 填写在 上面 的表 格 里, 否则 该题不得分 三、填空题<本大题共5小题,每小题4分,共20分) 21.JK触发器可避免RS触发器状态出现。与RS触发器比较,JK触发器增加了功能; 22.寄存器存放数码的方式有和两种方式; 23.二极管的伏安特性曲线反映的是二极管的关系曲线; 24.常见的滤波器有、和; 25.现有稳压值为5V的锗稳压管两只,按右图所示方法接入电路,则 V0=。 四、应用题<本大题共3小题,共35分,要求写出演算过程) 26.<10分)某JK触发器的初态Q=1,CP的下降沿触发,试根据下图所示的CP、J、K的波形,画出输出Q 和的波形。RTCrpUDGiT 27.<9分)如下图所示电路,测得输出电压只有0.7V,原因可能是: <1)R开路;<2)RL开路;<3)稳压二极管V接反; <4)稳压二极管V短路。应该是那种原因,为什么? 28.<16分)分析下图所示电路的工作原理,要求: <1)列出状态表,状态转换图; <2)说明计数器类型。 参考答案及评分标准 一、单项选择题<本大题共15小题,每小题2分,共30分) 二、判断题<本大题共5小题,每小题3分,共15分) 三、填空题<本大题共5小题,每小题4分,共20分) 21.不确定,翻转22.并行和串行 23.VD-ID24.电容、电感、复式25.5.3V 四、应用题<本大题共3小题,共30分,要求写出演算过程) 26. 27.解:稳压二极管V接反,变成正向偏置,稳压二极管正向导通时,压降是0.7V 28.解:计数前,各触发器置0,使Q2Q1Q0=000

相关文档
相关文档 最新文档