文档库 最新最全的文档下载
当前位置:文档库 › 密码锁-软件部分

密码锁-软件部分

密码锁-软件部分
密码锁-软件部分

毕业设计

基于单片机的密码锁的设计

目录

1绪论 (4)

1.1 课题背景 (4)

1.2 课题设计目标 (4)

2系统方案论证 (5)

2.1 主控部分的选择 (5)

2.2 密码输入方式的选择 (5)

3 系统总体设计和主要芯片介绍 (7)

3.1 系统总体设计 (7)

3.2 主要芯片介绍 (7)

4 系统硬件构成 (15)

4.1 系统整体电路图 (15)

4.2 单片机最小系统原理图 (15)

4.3 电源输入部分 (16)

4.4 键盘输入部分 (16)

4.5 密码存储部分 (17)

4.6 显示部分 (17)

4.7 报警部分 (18)

5软件的设计与实现 (19)

5.1 设计原理 (19)

5.2 主程序流程图 (19)

6 结论 (20)

[参考文献] (21)

基于单片机的电子密码锁的设计

摘要

本系统由单片机系统、矩阵键盘、LED显示和报警系统组成。系统能完成开锁、超次锁定、报警、修改用户密码基本的密码锁的功能。除上述基本的密码锁功能外,还具有掉电存储、声光提示等功能,依据实际的情况还可以添加遥控功能。本系统成本低廉,功能实用

[关键词] AT89S51 AT24C02 电子密码锁矩阵键盘

1绪论

随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。锁自古以来就是把守护门的铁将军,人们对它要求甚高,既要安全可靠的防盗,又要使用方便,这也是制锁者长期以来研制的主题。随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。

1.1 课题背景

由于电子锁的密钥量(密码量)极大,可以与机械锁配合使用,并且可以避免因钥匙被仿制而留下安全隐患。电子锁只需记住一组密码,无需携带金属钥匙,免除了人们携带金属钥匙的烦恼,而被越来越多的人所欣赏。电子锁的种类繁多,例如数码锁,指纹锁,磁卡锁,IC卡锁,生物锁等。但较实用的还是按键式电子密码锁。1.2 课题设计目标

本设计采用AT89S51单片机为主控芯片,通过软件程序组成电子密码锁系统,能够实现:

1.正确输入密码前提下,开锁提示;

2.错误输入密码情况下,蜂鸣器报警;

3.密码可以根据用户需要更改;

4.断电存储功能

2系统方案论证

系统从主控部分和密码输入方式两方面进行论证

2.1 主控部分的选择

方案一:采用数字电路控制

用以74LS112双JK触发器构成的数字逻辑电路作为密码锁的核心控制,将密码保存在JK触发器中,与输入密码通过比较器比较,判断结果是否相符合。采用数字电路设计的方案好处就是设计简单,但控制的准确性和灵活性差,故不采用。

方案二:采用以单片机为核心的控制方案

选用单片机作为系统的核心部件,实现控制与处理的功能。单片机具有资源丰富、速度快、编程容易等优点。利用单片机内部的随机存储器(RAM)和只读存储器(ROM)及其引脚资源,外接液晶显示(LCD),键盘输入等实现数据的处理传输和显示功能,基本上能实现设计指标,因此综合考虑,本系统采用方案二。

2.2 密码输入方式的选择

方案一:指纹输入识别

指纹识别技术主要涉及四个功能:读取指纹图像、提取特征、保存数据和比对。通过指纹读取设备读取到人体指纹的图像,然后要对原始图像进行初步的处理,使之更清晰,再通过指纹辨识软件建立指纹的特征数据。软件从指纹上找到被称为"节点"(minutiae)的数据点,即指纹纹路的分叉、终止或打圈处的坐标位置,这些点同时具有七种以上的唯一性特征。通常手指上平均具有70个节点,所以这种方法会产生大约490个数据。这些数据,通常称为模板。通过计算机模糊比较的方法,把两个指纹的模板进行比较,计算出它们的相似程度,最终得到两个指纹的匹配结果,从而判断输入结果的正确与否。考虑到本方案软硬件太过复杂,而且成本也高,故不采用。方案二:矩阵键盘输入识别

由各按键组成的矩阵键盘每条行线和列线都对应一条I/O口线,键位设在行线和列线的交叉点,当一个键按下就会有某一条行线与某一条列线接触,只要确定接触的是哪两条线,即哪两个I/O口线,就可以确定哪一个键被触动。行线设计成上拉口线,初始时被置高电位,列线悬空,初始置低。通过不断读行线口线,或者中断方式触发键位扫描。当发现有键按下,将列线逐一置低,其他列线置高,读行线口线。当某条列线置低时,某条行线也被拉低,则确定这两条线的交点处的按钮被按下。每个按键

都可通过程序赋予功能,从而完成密码识别。本方案简单易行,故采用。

3 系统总体设计和主要芯片介绍

系统主要芯片有AT89S51,AT24C02及液晶等

3.1 系统总体设计

本设计主要由单片机、矩阵键盘、液晶显示器和密码存储等部分组成。其中矩阵键盘用于输入数字密码和进行各种功能的实现。由用户通过连接单片机的矩阵键盘输入密码,后经过单片机对用户输入的密码与自己保存的密码进行对比,从而判断密码是否正确,然后控制引脚的高低电平传到开锁电路或者报警电路控制开锁还是报警,实际使用时只要将单片机的负载由继电器换成电子密码锁的电磁铁吸合线圈即可。系统整体框图如图3.1所示。

图3.1 系统结构框图

各模块功能如下:

1.矩阵键盘输入模块:分为密码输入按键与几个功能按键,用于完成密码锁输入功能。

2.显示模块:用于完成对系统状态显示及操作提示功能。

3.报警电路:用于完成输错密码时候的警报功能。

4.密码存储模块:用于完成掉电存储功能,使修改的密码断电后仍能保存。

5.电源模块:用于对系统电路提供+5V的直流电压

3.2 主要芯片介绍

3.2.1 AT89S51

AT89S51是美国Atmel公司生产的低电压,高性能CMOS8位单片机,片内含8k bytes 的可反复擦写的只读程序存储器(PEROM)和256bytes的随机存取数据存储器(RAM),

AT89S51有40个引脚,32个外部双向输入/输出(I/O)端口,同时内含2个外中断口,3个16位可编程定时计数器,2个全双工串行通信口,2个读写口线。

主要功能特性:

·兼容MCS51指令系统。

·8k可反复擦写(>1000次)Flash ROM,32个双向I/O口,256x8bit内部RAM,时钟频率0-24MHz,可编程UART串行通道。

·3个16位可编程定时/计数器中断,2个串行中断,2个外部中断源,共6个中断源,2个读写中断口线。

其引脚排列图如下图3.2:

图3.2 AT89S51的引脚排列

引脚功能如下:

·V CC:电源电压

·GND:地

·P0口:P0口是一组8位漏极开路型双向I/O口,也即地址/数据总线复用口。作为输出口用时,每位能吸收电流的方式驱动8个TTL逻辑门电路,对端口P0写“1”时,可作为高阻抗输入端用。在访问外部数据存储器或程序存储器时,这组口线分时转换地址(低8位)和数据总线复用,在访问期间激活内部上拉电阻。

·P1口:P1是一个带内部上拉电阻的8位双向I/O口,P1的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。作输入口使用时,因为内部存在上拉电阻,某个引

)。

脚被外部信号拉低时会输出一个电流(I

IL

·P2口:P2是一个带有内部上拉电阻的8位双向I/O口,P2的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对端口P2写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口,作输入口使用时,因为内部存在上拉电阻,

)。在访问8位地址的外部数据存储某个引脚被外部信号拉低时会输出一个电流(I

IL

器(如执行MOVX@RI指令)时,P2口输出P2锁存器的内容。

Flash编程或校验时,P2亦接收高位地址和一些控制信号。

·P3口:P3口是一组带有内部上拉电阻的8位双向I/O口。P3口输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对P3口写入“1”时,它们被内部上位电阻拉高并可作为输入端口。此时,被外部拉低的P3口将用上拉电阻输出电流(I

)。

IL

P3口除了作为一般的I/O口线外,更重要的用途是它的第二功能,如下表3.1所示:

表3.1 P3口的第二功能

·RST:复位输入。当振荡器工作时,RST引脚出现两个机器周期以上高电平

将使单片复位。

·ALE/PROG:当访问外部程序存储器或数据存储器时,ALE(地址锁存允许)

输出脉冲用于锁存地址的低8位字节。一般情况下,ALE仍以时钟振荡频率的1/6

输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当

访问外部数据存储器时将跳过一个ALE脉冲。

·EA/VPP:外部访问允许。欲使CPU仅访问外部程序存储器(地址为0000H-FFFFH),EA端必须保持低电平(接地)。需注意的是:如果加密位LB1被编程,

复位时内部会锁存EA端状态。如EA端为高电平(接Vcc端),CPU则执行内部程

序存储器中的指令。Flash存储器编程时,该引脚加上+12V的编程允许电源VPP,

当然这必须是该器件是使用12V编程电压VPP。

·XTAL1:振荡器反相放大器的及内部时钟发生器的输入端。

·XTAL2:振荡器反相放大器的输出端。

3.2.2 存储芯片AT24C02

AT24C02是美国Atmel公司的低功耗CMOS型E?PROM,内含256×8位存储空间,具有工作电压宽(2.5~5.5 V)、擦写次数多(大于10000次)、写入速度快(小于10 ms)、抗干扰能力强、数据不易丢失、体积小等特点。而且他是采用了I?C总线式进行数据读写的串行器件,占用很少的资源和I/O线,并且支持在线编程,进行数据实时的存取十分方便。AT24C02中带有的片内地址寄存器。每写入或读出一个数据字节后,该地址寄存器自动加1,以实现对下一个存储单元的读写。所有字节均以单一操作方式读取。为降低总的写入时间,一次操作可写入多达8个字节的数据。I2C 总线是一种用于IC器件之间连接的二线制总线。他通过SDA(串行数据线)及SCL(串行时钟线)两根线在连到总线上的器件之间传送信息,并根据地址识别每个器件。AT24C02正是运用了I?C规程,使用主/从机双向通信,主机(通常为单片机)和从机(AT24C02)均可工作于接收器和发送器状态。主机产生串行时钟信号(通过SCL引脚)并发出控制字,控制总线的传送方向,并产生开始和停止的条件。

无论是主机还是从机,接收到一个字节后必须发出一个确认信号ACK。AT24C02的控制字由8位二进制数构成,在开始信号发出以后,主机便会发出控制字,以选择从机并控制总线传送的方向。

管脚描述:

SCL 为串行时钟:

串行时钟输入管脚用于产生器件所有数据发送或接收的时钟这是一个输入管脚。

SDL 为串行数据/地址:

双向串行数据/地址管脚用于器件所有数据的发送或接收SDL,是一个开漏输出管脚可与其它开漏输出或集电极开路输出进行线或。

A0、A1、A2 为器件地址输入端:

当使用24C02 时最大可级联8个器件,如果只有一个24C02被总线寻址,这三个地址输入脚A0、A1、A2可悬空或连接到Vss。

WP为写保护:

如果WP 管脚连接到Vcc 所有的内容都被写保护只能读当WP, 管脚连接到Vss 或悬空,允许器件进行正常的读/写操作[12]。管脚图如图3.3所示。

图3.3 A T24C02引脚图

3.2.3显示电路(LCD1602)

LCD1602:所谓的1602即是16字符*2行的字符型LCD显示器,它由32个字符点阵块组成,每个字符点阵块由5×7或5×10个点阵组成,可以显示ASCII码表中的所有可视的字符。它内置了字符产生器ROM(CGROM)、字符产生器RAM(CGRAM)和显示数据RAM(DDRAM)。CGROM中内置了192个常用字符的字模,CGRAM包含8个字节的RAM,可存放用户自定义的字符,DDRAM就是用来寄存待显示的字符代码。

1602液晶显示器以其微功耗、体积小、显示内容丰富、超薄轻巧的诸多优点,在袖珍式仪表和低功耗应用系统中得到越来越广泛的应用

3.2.3.1 1602引脚及其具体功能如下表:

表1 1602引脚及功能

3.2.3.2 LCD1602基本操作时序:

读状态:输入:RS=L,RW=H,E=H 输出:D0~D7=状态字写指令:输入:RS=L,RW=L,D0~D7=指令码,E=高脉冲输出:无读数据:输入:RS=H,RW=H,E=H 输出:D0~D7=数据写数据:输入:RS=L,RW=H,E=H 输出:无1)读操作时序图

图6 读操作时序图

2)写操作时序图

图3.4写操作时序图

3)时序参数表

表2 时序参数表

3.2.3.3 状态字说明表

表3 状态字说明

注意:对控制器每次进行读写操作之前,都必须进行读写检测,确保STA7=0

3.2.3.6 数据控制

控制器内部设有一个数据地址指针,用户可通过它们来访问内部的全部80字节RAM。

1)数据指针设置如表4

表4 数据指针设置

2)其他设置如表5

表5 其他设置

Lcd初始化显示设置为:

初始化过程(复位过程)延时15ms 写指令38H(不检测忙信号) 延时5ms 写指令38H(不检测忙信号) 5延时5ms 写指令

38H(不检测忙信号) (以后每次写指令、读/写数据操作之前均需检测忙信号)

写指令38H:显示模式设置写指令08H:显示关闭写指令01H:显示

清屏写指令06H:显示光标移动设置写指令0CH:显示开及光标设置。

4 系统硬件构成

4.1 系统整体电路图

本密码锁系统硬件电路是由AT89S51单片机、存储器AT24C02和LCD1602液晶显示、键盘输入以及输出控制报警5个模块组成的。其整体电路图如下4.1所示:

图4.1 整体电路图

4.2 单片机最小系统原理图

由AT89S51,复位电路,时钟产生电路组成。其原理图如下:

图4.2 最小系统电路原理图

4.3 电源输入部分

密码锁主控制部分电源需要用5V直流电源供电,把频率为50Hz、有效值为220V 的单相交流电压转换为幅值稳定的5V直流电压。其主要原理是把单相电经过电源变压器、整流电路、滤波电路、稳压电路转换成稳定的直流电压。由于输入电压为电网电压,一般情况下所需直流电压的数值和电网电压的有效值相差较大,因而电源变压器的作用显现出来起到降压作用。降压后还是交流电压,所以需要整流电路把交流电压转换成直流电压。由于经整流电路整流后的电压含有较大的交流分量,会影响到负载电路的正常工作。需通过低通滤波电路滤波,使输出电压平滑。稳压电路的功能是使输出直流电压基本不受电网电压波动和负载电阻变化的影响,从而获得稳定性足够高的直流压。本电路使用充电器解决电源稳压问题。

4.4 键盘输入部分

由于本设计所用到的按键数量较多而不适合用独立按键式键盘。采用的是矩阵式按键键盘,它由行线和列线组成,也称行列式键盘,按键位于行列的交叉点上,密码锁的密码由键盘输入完成,与独立式按键键盘相比,要节省很多I/O口。本设计中使用的这个4*4键盘不但能完成密码的输入还能作特别功能键使用,比如清空显示功能等。键盘的每个按键功能在程序设计中设置。其大体功能(看键盘按键上的标记)及与单片机引脚接法如图4.3所示

图4.3 键盘输入原理图

4.5 密码存储部分

用EPROM芯片AT24C02存储密码。AT24C02是美国Atmel公司的低功耗CMOS型E2PROM,内含256×8位存储空间,具有工作电压宽(2.5~5.5 V)、擦写次数多(大于10000次)、写入速度快(小于10 ms)、抗干扰能力强、数据不易丢失、体积小等特点。而且他是采用了I2C总线式进行数据读写的串行器件,占用很少的资源和I/O线,并且支持在线编程,进行数据实时的存取十分方便。AT24C02中带有的片内地址寄存器。每写入或读出一个数据字节后,该地址寄存器自动加1,以实现对下一个存储单元的读写。所有字节均以单一操作方式读取。为降低总的写入时间,一次操作可写入多达8个字节的数据。I2C总线是一种用于IC器件之间连接的二线制总线。他通过SDA(串行数据线)及SCL(串行时钟线)两根线在连到总线上的器件之间传送信息,并根据地址识别每个器件。AT24C02正是运用了I2C规程,使用主/从机双向通信,主机(通常为单片机)和从机(AT24C02)均可工作于接收器和发送器状态。主机产生串行时钟信号(通过SCL引脚)并发出控制字,控制总线的传送方向,并产生开始和停止的条件。无论是主机还是从机,接收到一个字节后必须发出一个确认信号ACK。AT24C02的控制字由8位二进制数构成,在开始信号发出以后,主机便会发出控制字,以选择从机并控制总线传送的方向。其接线如图4.4所示:

图4.4 密码存储电路原理图

4.6 显示部分

为了提高密码锁的密码显示效果能力。本设计的显示部分由液晶显示器LCD1602取代普通的数码管来完成。显示器一直处于初始状态,当需要对密码锁进行开锁时,按下键盘上的开锁按键后利用键盘上的数字键0-9输入密码,每按下一个数字键后

在显示器上显示一个*,输入多少位就显示多少个*。当密码输入完成时,按下确认键,如果输入的密码正确的话,LCD子显示“RIGHT”,LCD显示屏会显示“ERROR”,P2.0输出的是低电平,电子密码锁不能被打开。通过LCD显示屏,可以清楚的判断出锁所处状态。其显示部分引脚接口如图4.5所示

图4.5 显示电路原理图

4.7 报警部分

报警部分由喇叭电路组成,当有键按下时,每按一下,喇叭koi响一次,当密码输入错误时,单片机的P2.1引脚为低电平,喇叭发出噪鸣声报警。如图4.6所示:

图4.6 报警电路原理图

5软件的设计与实现

软件的设计从设计原理和主程序流程图两方面进行说明

5.1 设计原理

本设计主要由单片机、矩阵键盘、液晶显示器和密码存储等部分组成。其中矩阵键盘用于输入数字密码和进行各种功能的实现。由用户通过连接单片机的矩阵键盘输入密码,后经过单片机对用户输入的密码与自己保存的密码进行对比,从而判断密码是否正确,然后控制引脚的高低电平传到开锁电路或者报警电路控制开锁还是报警,实际使用时只要将单片机的负载由继电器换成电子密码锁的电磁铁吸合线圈即可,当然也可以用继电器的常开触点去控制电磁铁吸合线圈。本系统共有两部分构成,即硬件部分与软件部分。其中硬件部分由电源输入部分、键盘输入部分、密码存储部分、显示部分、报警部分组成,软件部分对应的由主程序、初始化程序、LCD显示程序、键盘扫描程序、启动程序、关闭程序、键功能程序、密码设置程序、EEPROM读写程序和延时程序等组成。

5.2 主程序流程图

主程序对模块进行初始化,而后调用密码输入子程序、密码比较子程序、判断密码是否输入正确。主程序流程图如图5.1所示。

图5.1 系统主程序流程图

6 结论

本设计从经济实用的角度出发,采用美国Atmel公司的单片机AT89S51与低功耗CMOS型E2PROM AT24C02作为主控芯片与数据存储器单元,结合外围的键盘输入、显示、报警等电路并用汇编编写主控芯片的控制程序,研制了一款可以多次更改密码具有报警功能的电子密码锁。设计完全可行可以达到设计目地。使用单片机制作的电子密码锁具有软硬件设计简单,易于开发,成本较低,安全可靠,操作方便等特点,可应用于住宅、办公室的保险箱及档案柜等需要防盗的场所,有一定的实用性。该电路设计还具有按键有效提示,输入错误提示,控制开锁电平,控制报警电路,修改密码等多种功能。可在意外泄密的情况下随时修改密码。保密性强,灵活性高,特别适用于家庭、办公室、学生宿舍及宾馆等场所。

数字电路密码锁课程设计

“数字电子技术”课程设计 实验报告 姓名: 指导老师: 班级:13电子卓越班 学号:2013****01121 时间:2014·12·05 东莞理工学院 电子工程学院 密码锁

目录 一、选题意义 (3) 二、方案论证选择 (4) 2.1 设计要求 (4) 2.2 拓展要求 (4) 2.3 系统框图 (4) 2.4 设计过程 (5) 三、电路设计 (5) 3.1 所需芯片及芯片管脚图 (5) 3.2 CD4017构成的主题电路 (6) 3.2确认键的电路设计 (6) 3.3输入密码三次锁死系统原理分 (7) 3.4用led显示当前输入密码个数 (8) 3.5 综合电路 (8) 四、电路调试及实物照片 (9) 五、心得体会 (13)

一.选题意义 1概述 电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。应用较广的电子密码锁是以芯片为核心,通过编程来实现的。 2性能特点 其性能和安全性已大大超过了机械锁,特点如下: 1.保密性好,编码量多,远远大于弹子锁。随机开锁成功率几乎为零。 2.密码可变。用户可以经常更改密码,防止密码被盗,同时也可以避免因人员的更替而使锁的密级下降。 3.误码输入保护。当输入密码多次错误时,报警系统自动启动。 4. 电子密码锁操作简单易行,一学即会。 5.干扰码功能在输入正确密码前可输入任意码。 6.安保功能 如果连续输错4次密码将会自动断电3分钟。 7.紧急开启功能(Panic Open) 出门时无需其他操作,只需一次的把手动作,可机械的开启门,所以遇到火灾等应急状况下也迅速,安全的开启门。 8.入侵感应功能 在门上锁的状态下,有人破锁而入时,会发出强力的报警音。 9.火灾报警功能 在室内如果温度达到75°左右,将会发出强力的报警音,同时锁会自动开启。 10.双重锁定功能 外部强制锁定:在内部不能开启,适用于外出时,防止有人入侵。 内部强制锁定:在外部不能开启,让您在家时更安心、安全。 11.弱电提醒当电量不足时,在启动开门时,会有美妙的音乐提示您及时更换电池。 12.自动上锁功能 采用全自动锁芯,门关后6秒内自动上锁,外出更加安全。 本次我们设计的密码锁仅为逻辑电路部分,不涉及上文所述的具体的机械设备以及其他周边电路!

四位数字密码锁

数字电路基础实验设计报告班级:521 姓名:李世龙 学号:2010052106 设计题目:四位数字密码锁 指导老师:张光普

四位密码锁 一设计任务:通过组合逻辑电路来实现四位密码锁功能。当输入正确的密码时LED灯亮但蜂鸣器不响,输入错误密码时LED灯不亮但蜂鸣器响。当关闭密码锁开关时无论输入什么样的密码LED灯都亮蜂鸣器都响。密码的输入由开关的闭合与断开控制高低电平进行密码的输入。 二设计原理: 开关J1,J2, J3,J4通过接低电平或者接入高电平进行密码的输入,J5为密码锁的开关当它接入高低电平来控制密码锁是否工作。其他的门电路来实现密码锁转换功能。

真值表 J5 J1 J2 J3 J4 LEDBUZZE R 0 ×××× 1 1 1 0 0 0 0 0 1 1 0 0 0 1 0 1 1 0 0 1 0 0 1 1 0 0 1 1 01 1 0 1 0 0 0 1 1 0 1 0 1 0 1 1 0 1 1 0 0 1 1 0 1 1 1 0 1 1 1 0 0 0 0 1 1 1 0 0 1 0 1 1 1 0 1 0 0 1 1 1 0 1 1 0 1 1 1 1 0 0 10 1 1 1 0 1 0 1 1 1 1 1 0 0 1 1 1 1 1 1 0 1 三测量和调试结果: 当J5接入电平密码锁处于非工作状态无论输入密码是什么LED灯都会亮起,蜂鸣器会一直响。当J5接入高电平时密码锁处于正常工作状态,当输入正确的密码1100时即J1,J2接入高电平J3,J4接入低电平时LED灯会亮但蜂鸣器不会响,当输入其他密码时均为错误密码LED灯不亮蜂鸣器会响。 按照设计原理图接好电路后发现输入正确的密码LED灯会亮,但输入错误的密码和密码锁处于非工作状态时蜂鸣器不响。通过对电路的检查发现蜂鸣器的正负接反了重新改正电路,电路正常工作 设计任务完成 四参考文献

四位电子密码锁.

专业工程设计说明书 题目:4位电子密码锁设计 院(系):电子工程与自动化学院 专业:测控技术与仪器(卓越) 学生姓名:蔡伟航 学号:1200820206 指导教师:黄源 2015年1月16日

锁是置于可启闭的器物上,用以关住某个确定的空间范围或某种器具的,必须以钥匙或暗码打开的扣件。锁具发展到现在已有若干年的历史了,人们对它的结构、机理也研究得很透彻。随着社会科技的进步,锁已发展到了密码锁、磁性锁、电子锁、激光锁、声控锁等等。当今安全信息系统应用越来越广泛,特别在保护机密、维护隐私和财产保护方面起到重大作用,而基于电子密码锁的安全系统是其中的组成部分,因此研究它具有重大的现实意义。 本设计由主控芯片51单片机,单片机时钟电路,矩阵键盘,数码管的动态显示,报警电路和开锁电路组成。单片负责控制整个系统的执行过程。 关键词:AT89S51、时钟电路、矩阵键盘、数码管的动态显示、报警电路,开锁电路。

引言 (1) 1课程设计题目 (1) 2 系统设计 (2) 2.1 总的系统设计结构图 (2) 2.2系统硬件设计 (2) 3 AT89S52最小系统设计 (3) 3.1 时钟电路设计 (3) 3.2 复位电路设计 (4) 4 键盘及显示报警电路的硬件设计 (5) 4.1 矩阵键盘电路设计 (5) 4.2 显示电路硬件设计 (5) 4.3 继电器驱动电路及报警电路设计 (6) 4.3.1继电器简介 (6) 4.3.2 固态继电器驱动电路设计 (7) 4.3.3报警提示电路 (7) 5 系统软件设计 (8) 5.1主程序模块 (9) 5.2密码比较判断模块 (9) 5.3键盘扫描模块 (9) 5.4修改密码模块 (10) 5.5数码管液晶显示模块 (11) 6 总体调试 (11) 7 总结 (12) 参考文献 (13) 附录 (14)

4位数字密码锁的设计

1技术指标 用与非门设计一个4位或多位代码的数字锁,要求如下: A:设计一个保险箱用的多位代码数字锁,比如4位代码ABCD四个输入端和一个开锁用的钥匙插孔输入端E,当开箱时(E=1),如果输入代码(例如ABCD=1010)与设定的代码相同,则保险箱被打开,即输出端Z=1,否则电路发出报警信号: B: 进行电路仿真,并说明其工作原理。

2方案比较 方案一:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、

3Proteus软件介绍 Proteus软件是来自英国Labcenter electronics公司的EDA工具软件。 Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是Proteus Lite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。 Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。此系统受益于15年来的持续开发,被《电子世界》在其对PCB 设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。Proteus产品系列也包含了我们革命性的VSM技术,用户可以对基于微控制器的设计连同所有的周围电子器件一起仿真。用户甚至可以实时采用诸如LED/LCD、键盘、RS232终端等动态外设模型来对设计进行交互仿真。 其功能模块:—个易用而又功能强大的ISIS原理布图工具;PROSPICE混合模型SPICE仿真;ARES PCB设计。PROSPICE仿真器的一个扩展PROTEUS VSM:便于包括所有相关的器件的基于微处理器设计的协同仿真。此外,还可以结合微控制器软件使用动态的键盘,开关,按钮,LEDs甚至LCD显示CPU模型。 Proteus支持许多通用的微控制器,如PIC,A VR,HC11以及8051。 交互的装置模型包括:LED和LCD显示,RS232终端,通用键盘。 Proteus有强大的调试工具;包括寄存器和存储器,断点和单步模式。 IAR C-SPY和Keil uVision2等开发工具的源层调试。 Proteus应用特殊模型的DLL界面-提供有关元件库的全部文件。 Proteus与其他的仿真软件相比较,在下面的优点: 1、能仿真模拟电路、数字电路、数模混合电路; 2、能绘制原理图、PCB图; 3、几乎包括实际中所有使用的仪器;

数字电子技术课程设计电子密码锁

课程设计说明书 课程名称: 数字电子技术课程设计 题目:电子密码锁 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号. 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码.当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s内未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路. 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制.通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响).

简易 位数字密码锁控制电路设计实验报告

目录 一、前言 (2) 二、课设任务 (2) 三、方案设计、原理分析 (2) 四、译码电路设计 (8) 五、报警信号产生器 (10) 六、调试及结果 (12) 七、体会 (13)

一、前言 本次课程设计的基本任务是着重提高学生在EDA知识学习与应用方面的实践技能。学生通过电路设计安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 EDA技术是电子信息类专业的一门新兴学科,是现代电子产品设计的核心,其任务是掌握在系统可编程逻辑器件及其应用设计技术,为电子产品开发研制打下坚实基础。 本课程设计对学生有如下要求:根据设计任务和指标,初步电路;通过调查研究,设计计算,确定电路方案;选择元器件,在计算机上连好线路,独立进行试验,并通过调试、仿真、改进方案;分析实验结果,写出设计总结报告:学会自己分析,找出解决问题方法;对设计中遇到的问题,能独立思考、查阅资料,寻找答案。 二、课设任务 1、14位数字密码分成高7位(DH6…DH0)和低7位(DL6…DL0), 用数字逻辑开关预置,输出信号out为1表示开锁,否则关闭。 2、14位数字密码分时操作,先预置高7位,然后再置入低7位。 3、要求电路工作可靠,保密性强,开锁出错立即报警。 4、利用MAX plus2 软件进行设计、编译,并在FPGA芯片上实现。 5、简易14位数字密码锁模块的框图如下: 三、方案设计、原理分析 首先我是一班的三号,所以我的密码时0100010 0000011。我所做的设计是先把高七位输入锁存,然后在输入低七位,

最后判断密码是否正确,密码正确就开锁,密码错误就报警。数字密码锁控制电路的组成部分:YMQ模块, 1、IC9A的设计 设计要求14位数字密码分时操作,先预置高七位0100010,而后置低七位0000011,首先可以使用寄存器将高七位存起来,而后与低七位一起进行译码,如果密码正确,输出OUT2为1,否则为0. 2、数字密码锁控制电路原理图:

数字电路密码锁设计

电子密码锁 摘要本文的电子密码锁利用数字逻辑电路,实现对门的电子控制,并且有各种附加电路保证电路能够安工作,有极高的安全系数。 关键词电子密码锁电压比较器555单稳态电路计数器JK触发器UPS电源。 1引言 随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲呢。 设计本课题时构思了两种方案:一种是用以A T89C2051为核心的单片机控制方案;另一种是用以74LS112双JK触发器构成的数字逻辑电路控制方案。考虑到单片机方案原理复杂,而且调试较为繁琐,所以本文采用后一种方案。 2 总体方案设计 2.1设计思路 共设了9个用户输入键,其中只有4个是有效的密码按键,其它的都是干扰按键,若按下干扰键,键盘输入电路自动清零,原先输入的密码无效,需要重新输入;如果用户输入密码的时间超过40秒(一般情况下,用户不会超过40秒,若用户觉得不便,还可以修改)电路将报警80秒,若电路连续报警三次,电路将锁定键盘5分钟,防止他人的非法操作。 2.2总体方框图

3 设计原理分析 电路由两大部分组成:密码锁电路和备用电源(UPS),其中设置UPS 电源是为了防止因为停电 造成的密码锁电路失效,使用户免遭麻烦。 密码锁电路包含:键盘输入、密码修改、密码检测、开锁电路、执行电路、报警电路、键盘输入次数锁定电路。 3.1 键盘输入、密码修改、密码检测、开锁及执行电路 . 其电路如下图1所示: 开关K1~K9是用户的输入密码的键盘,用户可以通过开关输入密码,开关两端的电容是为了提高开关速度,电路先自动将IC1~IC4清零,由报警电路送来的清零信号经C25送到T11基极,使T11 导通,其集电极输出低电平,送往IC1~IC4,实现清零。 密码修改电路由双刀双掷开关S1~S4组成(如图2所示), 它是利用开关切换的原理实现密码的修改。例如要设定密码为1458,可以拨动开关S1向左,S2向右,S3向左,S4向右,即可实现密码的修改,由于输入的密码要经过S1~S4的选择,也就实现了密码的校验。本电路有16组的密码可供修改。 由两块74LS112(双JK 触发器,包含IC1~IC4)组成密码检测电路。由于IC1处于计数状态,当用户按下第一个正确的密码后,CLK 端出现了一个负的下降沿,IC1计数,Q 端输出为高电平,用户依次按下有效的密码,IC2~IC3也依次输出高电平,送入与门IC5,使其输出开锁的高电平信号送往IC13的2脚,执行电路动作,实现开锁。 执行电路是由一块555单稳态电路(IC13),以及由T10、 T11组成的达林顿管构成。若IC13的2脚输入一高电平,则3脚输出高电平,使T10导通,T11导通,电磁阀开启,实现开门,同时T10集电极上接的D5(绿色发光二极管)发亮,表示开门,20秒后,555电路状态翻转,电磁阀停止工作,以节电。其中电磁阀并联的电容C24使为了提高电磁阀的力矩。 图1 键盘输入、密码修改、密码检测、开锁、执行电路 3.2 报警电路 报警电路实现的功能是:当输入密码的时间超过40秒(一般情况下用户输入不会超过),电路报警80秒,防止他人恶意开锁。 电路包含两大部分,2分钟延时和40秒延时电路。其工作原理是当用户开始输入密码时,电路开始2分钟计时,超出40秒,电路开始80秒的报警。如图3所示 CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC2A CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC3A CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC1A R26 R25 R24 R2 R20 VCC CLK 1 J 3 K 2 CD 15 SD 4 Q 5 Q 6 IC4A K1 K2 S1 K3 K4 S2 K5 K6 S3 K7 K8 S4 S? TRIG 2 Q 3 R 4 CVolt 5 THR 6 DIS 7 VCC 8 GND 1 V3 C13 20U C12 . . . . T10 T11 D5 10 C14 0.01U C15 0.01U C16 0.01U C17 0.01U C18 0.01U C19 0.01U C20 0.01U C21 0.01U TC13 1M R21 3.3K 电磁锁 清零信号 RD 1 2 4 5 6 IC5A 1 2 3 IC6A 锁定信号 E1 1K X 4 清零信号 RD 74LS112 消除报警信号 74ls08 74ls22 k9 74LS112 74LS113 74LS114 555 T12 9018 C25 47u R20 20k R27 2.2k 来自报警电路 的清零信号 C24 500u

数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计 课题:电子密码锁设计 姓名: 班级:13通信 学号: 成绩: 指导教师: 开课时间:

目录 摘要 (1) 一课程设计目的内容及安排 (2) 1.1设计目的 (2) 1.2设计内容 (2) 1.3设计安排 (2) 1.4设计内容 (2) 二电子密码锁设计要求及总框图 (3) 2.1设计要求 (3) 2.2总框图 (4) 三各模块电路设计 (5) 3.1密码输入存储比较模块 (5) 3.2五秒计时电路 (6) 3.3二十秒计时电路 (8) 3.4报警电路 (10) 3.5总电路 (11) 四设计心得 (12) 五参考文献 (13)

电子密码锁 摘要:设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。密码输入存储及比较部分使用芯片74LS194及74LS85。五秒及时部分采用芯片74LS161和数码显示管。二十秒报警电路由74LS160,555定时器组成的多谐振荡器,LED灯和蜂鸣器组成。利用multisim对电路进行仿真可以得到结果。 关键词:电子密码锁,计时电路,报警电路

一课程设计目的内容及安排 1.1设计目的 1 根据设计要求,完成对交通信号灯的设计。 2 加强对Multisim10仿真软件的应用。 3 掌握交通信号灯的主要功能与在仿真软件中的实现方法。 4 掌握74LS160,74LS192等功能。 1.2 设计内容 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁; 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 1.3设计安排

基于单片机数字密码锁的设计要点

摘要 随着科技和人们的生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统机械锁由于构造简单,被撬事件屡见不鲜;电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的青睐。 单片机也被称微控器,是因为它最早被用在工业控制领域。单片机是靠程序运行的,并且可以修改。 本设计系统主机采用8052单片机,MCS-51单片机的程序存储器和数据存储器的地址空间是相互独立的,而且程序存储器一般为ROM或EPROM,只能读出不能写入。扩展用的程序存储器芯片大多采用EPROM芯片,最大可扩展到64K字节。该设计使用矩阵键盘输入。LED数码管显示输入密码,用74HC245驱动数码管发光显示数码,LCD1602控制显示。密码正确,二极管发光。输入密码错误次数超过三次系统报警,蜂鸣器发出报警音。 关键词:单片机软件电路硬件电路

目录 第一章设计要求 (1) 第二章系统组成及工作原理 (2) 第三章硬件电路设计 (3) 3.1 STC89C52单片机的介绍 (3) 3.2单片机最小系统 (5) 3.3键盘电路设计 (6) 3.4 LCD1602显示电路 (8) 3.5开锁电路 (11) 3.6报警电路 (11) 3.7仿真效果图 (12) 第四章软件设计 (13) 4.1 PROTEUS仿真软件 (13) 4.2 KEIL编译设计 (15) 4.3 普中ISP自动下载软件 (16) 4.4程序流程图 (18) 第五章设计、调试和测试结果与分析 (19) 第六章设计小结 (23) 参考文献 (24) 附录 (25)

第一章设计要求 采用单片机、LCD等芯片,设计电子密码锁,能随时修改密码,具有防多次试探功能,连续输入密码达到一定次数,发出光声报警密码输入错误时有报警功能,连续输入3次错误,键盘自锁,等待管理解锁;开锁后或修改密码后可以选择退出,返回开锁前状态。掌握Proteus软件的基本应用,用于设计与仿真,需要用PROTEUS软件绘制电路原理图及局部原理图;掌握单片机编程语言,可选用汇编语言或C语言; 本次课程设计是要设计一个数字密码锁,设计要求如下: 1、设计一个数字式密码锁。 2、密码由4 – 6位数字组成。 3、密码相符开锁,三次不符报警。 4、密码可以更新。

数电综合设计 电子密码锁的设计

昆明理工大学数字电路与系统 课程设计报告 题目:电子密码锁的设计学院:理学院 专业班级: 姓名: 学号: 指导教师: 完成时间:201 年5月26日

目录 目录 (1) 1、前言 (2) 2.总体方案设计 (2) 2.1 设计目的 (2) 2.2 技术指标 (2) 2.3 方案框图 (2) 3. 单元模块设计 (3) 3.1.1 密码设置模块设计 (3) 3.1.2 矩阵键盘模块设计 (4) 3.1.3密码输入锁存模块 (5) 3.1.4密码比较模块 (6) 3.1.5定时报警模块(该模块还有一些问题,有待改进) (7) 3.1.6开锁模块 (8) 3.2电路元件选择和参数计算 (8) 3.3.1 555定时器介绍 (9) 3.3.2 74HC161器件介绍 (10) 3.3.3移位寄存器74HC194介绍 (10) 3.4各单元模块的联接 (11) 4 仿真电路总图 (11) 4.1密码设置模块的仿真调试 (12) 4.2密码输入锁存模块的仿真调试 (12) 4.3密码比较模块调试 (13) 5、总结和体会 (2) 6、参考文献 (2)

1.前言 社会发展,人们生活水平提高,传统的机械锁由于其构造的简单,被撬的事件时常发生,电子密码锁由于其保密性高,使用灵活性好,并且可以减少人们日常忘带钥匙的所带来的麻烦,因此受到了广大用户的喜爱。电子密码锁,主要由三个部分组成:数字密码输入电路、密码锁控制电路和密码锁显示电路。作为密码锁的输入部分,本设计采用通用数字机械键盘。 密码锁输入电路:包括时序产生电路、键盘译码电路等电路。密码锁控制包括密码核对(数值比较,解锁电路(开/关门锁电路)等几个小的功能电路。七段数码管显示电路将待显示数据的BCD 码转换成数码器的七段显示驱动编码。以此为基础设计电子密码锁。 2.总体方案设计 2.1 设计目的 基于数字逻辑课程的学习,初步掌握了简单组合电路和时序电路的设计与功能,通过这次大作业,主要培养理论知识结合实践的综合性能力,进一步理解基本逻辑门,触发器,计数器,寄存器,等的功能特性和实际作用,在已知芯片功能的基础上,通过课外知识的拓展,对所学知识有更深刻和全面的认识。具体有以下几点: 1、巩固和加深对数字电路基本知识的理解,提高综合运用本课程所学知识的能力。 2、培养根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、调试和检 测等环节,初步掌握简单实用电路的分析方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高进行数字电子电路实验的基本 技能。 2.2 技术指标 1、设计一个数字锁,每把锁都有其预先设定好的(四位十进制数)开锁密码,在一个 开锁控制信号作用下用该密码可以打开锁; 2、开锁时,其预先设定好的(四位十进制数)代码与(四位十进制代码)开锁密码 信号相符,且开锁控制信号有效时,锁打开; 3、若不符,电路将发出报警信号; 2.3 方案框图

数字密码锁设计

数字密码锁设计 一、设计任务与要求 设计由编码器、集成逻辑门电路、声光报警指示电路构成的密码锁电路,研究门电路的接口与驱动技术、学习组合逻辑电路的设计方法;用Proteus 软件仿真;实验测试逻辑功能。具体要求如下: (1)密码锁电路由密码输入电路、密码设置电路和密码控制电路组成,密码输入及密码设置均采用十进制数形式,密码输入通过键盘或按键输入。密码设置通过开关输入。 (2)如果输入的密码与预先设定的密码相同,则保险箱被打开,密码控制电路的输出端E =1,F=0;否则电路发出声、光报警信号,即输出端E=0,F=1。 (3)实验时,“锁被打开”的状态可用绿色发光二极管指示;声、光报警可分别用红色发光二极管及蜂鸣器指示。 (4)写出设计步骤,画出最简的逻辑电路图。 (5)对设计的电路进行仿真、修改,使仿真结果达到设计要求。 (6)安装并测试电路的逻辑功能。 (7)拓展内容:如果考虑一个开锁用的钥匙插孔输入端G,当开锁时(G=1),密码输入才有效,试在上述电路基础上修改该电路。 二、课题分析及设计思路 (1)密码输入电路及密码设置电路的设计思路 由于密码输入及密码设置均采用十进制数形式,故可利用8421BCD 码编码器分别实现,以一位密码输入及密码设置为例,其实现框图如下: 图1 密码输入及密码设置电路的实现框图 (2)密码控制电路的设计思路 分析以上设计任务与要求,密码控制电路的实现框图如下:

图2 密码控制电路实现框图 很容易得到:)()()()(1111D D C C B B A A F E ⊕?⊕?⊕?⊕== 由上述逻辑表达式可确定相应的逻辑电路图。 (3)TTL 集成门电路与LED 发光二极管的接口电路设计 TTL 集成门电路除了可驱动门电路外,还能驱动一些其它器件如LED 发光二极管。以集成反相器为例,有如下两种情况如图3(a )、(b)所示: 图3(a ) 输出高电平时LED 亮 图3 (b) 输出低电平时LED 亮 电路中串接的电阻R1、R2 为限流电阻,其作用是保护LED 因过流而烧坏。其大小按如下公式进行选择: D F OH I V V R -=1 D OL F CC I V V V R --=2 上述两式中,I D 为LED 正常发光时的电流,V F 为LED 导通电压,V OH 、V OL 分别为反相器的高、低电平输出电压。如I D =5mA ,V F =2.2V ,V OH =3.4V ,V OL =0.2V 时,算得R1=240欧,R2=520 欧。 注意:① 图3(a )接法时,发光二极管的电流不能超过门电路的“最大拉电流”,图3(b) 接法时,发光二极管的电流不能超过门电路的“最大灌电流”,否则会导致输出电平的 混乱。当然,如果该门电路处于整个逻辑电路的最末端,则发光二极管的电流可不受此限制。

数字电子密码锁设计说明书

长春建筑学院 2018-2019(二) 《数字电子技术基础》课程设计说明书 专业:建筑电气与智能化 姓名:刘琦峰 班级:建电1701 学号:17 指导教师:孙萍张欣 日期:2019年5月27日-5月31日 城建学院

课程设计成绩评分表设计题目: 数字电子密码锁设计 核定成绩: 评阅教师:

目录 一、设计题目 (1) 二、整体方案设计 (2) 三、单元电路设计 (3) 四、总电路原理图及器件清单 (9) 五、仿真结果及分析 (10) 六、焊接与调试 (12) 总结 (14) 参考文献 (15)

一.设计题目 1、设计目的 (1)巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能力; (2)培养根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力; (3)通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、调试和检测等环节,提高自身动手能力; (4)通过课程设计,培养独立设计能力、提高综合运用知识的能力,同时也有助于为以后的毕业设计打下坚实的基础; (5)随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲睐。 2、设计要求 (1)设计一个数字电子锁,有其预先设定好的密码,该密码可以修改。 (2)输入密码按确定键后,若密码正确则锁打开;若密码不正确则电路发出报警信号。任意输入密码而不按确定键的话电路不会有反应。 (3)任意输入密码而不按确定键的话电路不会有反应。 (4)打开的持续时间为Tx,警报持续时间也为Tx,即按下确定键到松开后10秒,按住确定键不放的话一直打开或报警。

14位数字密码锁两种设计方案

设计方案 系统设计方案 利用所学的电子技术知识和电子设计方法,设计出一个电子密码锁有以下两种基本方案可以选择: 方案一:利用数字逻辑电路,运用各种门电路,计数器,触发器,锁存器,编/译码器等数字逻辑器件实现电子控制。从而实现想要设计的电子密码锁的功能。此方法设计简单,但硬件电路比较多,操作起来比较复杂。 方案二:使用MCS-51系列单片机为核心控制附加一些简单的外围电路,利用单片机的一个I/O端口组成4×4键盘作为输入电路,采用汇编语言编写程序来实现电子密码锁的各项功能,程序语言功能强大,调试较为简单。具有很强的实用性。 设计方案选择 综上提出的两种方案,方案一给出的采用数字逻辑电路的设计方法的好处就是设计简单,因为采用数字逻辑电路可以分成各个功能模块来设计,每个模块实现各自的一个功能。这钟方法设计的密码锁电路大致包含:按键输入、密码核对、密码修改、开锁电路、错误提示电路等功能模块。采用数字电路虽然设计简单但是操作繁琐,要运用很多数字逻辑器件,硬件电路复杂,而且可能会出现较多器件故障,同时难以检查和维护。方案二提出的使用单片机为核心控制的方案,利用单片机丰富的I/O端口和灵活的编程设计,不但能实现密码锁的功能,而且控制准确性高,外围电路少硬件电路简单,方便灵活,调试简单不易出错,体积小成本低也利于现实中实现,具有较高的实际意义和实用价值。这个设计方案的最关键的地方就在于编程,利用程序的执行来实现密码锁的基本功能,因此单片机方案还有较大活动空间,能在很大程度上扩展功能,方便对系统进行升级。 针对第一种方案:系统vhdl设计 功能描述: 假设设计的密码锁有7个数据输入键,分别用K1到K7表示;一个“确认键”(按一下确认键,密码锁内部就产生一个正脉冲),用CLK_AFFIRM表示;一个“重置和修改密码使能键”,用S/W 表示;一个开锁状态指示灯GREENLED;一个密码输入错误报警器REDLED. 1.密码预置。未使用过的密码锁初始状态为“打开”,内部密码为随机数,故使用前必须进行密码 预置。通过按键将S/W调为“1”,密码锁进入密码预置模式,按照K1~K7→确认键→K1~K7→确认键的顺序输入想要设置的密码,其中K1~K7表示的意思是,给K1到K7赋值,赋值顺序可任意改变,但一旦按下确认键,K1到K7分别对应的数值便不可改变。整个过程中只要按下两次确认键,密码预置便成功完成。 2.密码验证。通过按键将S/W调为“0”,密码锁进入密码验证模式。按照K1~K7→确认键→K1~K7 →确认键的顺序输入密码,如果密码与预置的密码(如果修改过密码,则与最后一次修改成功后的密码)相匹配,开锁指示灯GREENLED变亮,同时锁子被打开。如果没反应,需要重新输入密码,但是输入密码的次数最多为3次,如果3次都输入了错误密码,那么错误报警器REDLED 就会报警,如果继续输入了正确密码,报警器就会解除警报。 3.密码修改。用户必须提供正确的密码后方能进入密码修改模式,否则,修改无效。如果用户通 过了密码验证,那么此时将S/W调为“1”便可顺利进入密码修改模式。修改密码过程与重置类

4位电子密码锁设计

电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。应用较广的电子密码锁是以芯片为核心,通过编程来实现的。 本系统由STC89C52单片机系统(主要是STC89C52单片机最小系统)、4×4矩阵键盘、LCD1602显示和报警系统等组成,具有设置、修改4位电子密码、连续输入三次密码错误报警等功能。 关键词:STC89C52;LCD1602;4×4矩阵键盘;4位电子密码锁

In daily life and work, for security reasons, the department of housing and security, unit documents, financial statements and some personal information to save more in order to lock the ways to solve. Locking method with the traditional mechanical lock and emerging electronic combination lock. Compared with the traditional mechanical lock, because the electronic combination lock, the secrecy good, the large amount of programming, password variable, can call the police and other characteristics, so the performance and security of the electronic combination lock far from traditional mechanical lock. Electronic combination lock is a password or to control circuit, so as to control mechanical switches closed, lock, lock task of electronic products. It's a lot of more phyletic, has simple circuit products, also has a high cost performance products based on the chip. Widely used electronic coded lock based on chip as the core, through the programming. Key words: STC89C52; LCD1602; Electronic password lock; 4×4 keyboard

电子密码锁设计报告概要

课程设计说明 书 课程名称:《单片机技术》设计 题目:基于51单片机的电子密码锁 院(部):学生姓名:电子信息与电气工程学院 马亚林 学号: 专业班级:指导教师:12 通信工程(专升本) 丁莹亮 2013 年05月17日

设计题目 课 程 设 计 任 务 书 基于 51 单片机的电子密码锁 学生姓名 设计要求: 12 通信工程(专 升本) 设计以单片机 AT89C51 为核心的电子密码锁,包括电子密码锁完整的设计过程以及外围 的开锁电路和报警电路的设计。 电子密码锁要完成以下部分的设计:按键接口电路、电子密码锁的控制电路、输出 八段显示电路。 电子密码锁控制电路能完成以下功能设计:数字按键的数字输入、存储和清除、功 能按键的功能设计、密码的清除和复位、报警信号产生电路密码核对、解除电锁电路、 输出八段显示电路完成以下电路设计:数据选择电路、八段显示器扫描电路。 学生应完成的工作: 1. 2. 3. 4. 5. 运用 Proteus 软件设计电路原理图; 用 Proteus 软件进行仿真; 焊接电路板并调试实现其功能; 完成实习报告; 我的任务是设计电路原理图并仿真。 参考文献阅读: [1] 杜尚丰. CAN 总线测控技术及其应用.北京:电子工业出版社,2007.1 [2] 杜树春.单片机 C 语言和汇编语言混合编程实例详解.北京:北京航空航天大学出版社,2006.6 工作计划: 2013.5.6 熟悉课题并查阅相关资料,同时消化吸收资料内容; 2013.5.7——2013.5.8 2013.5.9——2013.5.10 根据设计题目确定硬件设计方案,并交与指导老师修改; 开始着手课题的软件设计,与指导老师进行沟通; 2013.5.13 申请领用元器件; 2013.5.14——2013.5.17 进行实物制作,并撰写课程设计报告。 任务下达日期:2013 年 5 月 6 日 任务完成日期:2013 年 5 月 17 日 指导教师(签名): 学生(签名):王立斌 王立斌 所在院部 基于 51 单片机 的电子密码锁 专业、年级、 班

4位数字密码锁的设计

1技术指标? 用与非门设计一个4位或多位代码的数字锁,要求如下: A:设计一个保险箱用的多位代码数字锁,比如4位代码ABCD四个输入端和一个开锁用的钥匙插孔输入端E,当开箱时(E=1),如果输入代码(例如ABCD=1010)与设定的代码相同,则保险箱被打开,即输出端Z=1,否则电路发出报警信号: B:进行电路仿真,并说明其工作原理。

2方案比较 方案一:由4个单刀双掷开关构成密码开关,用户可以通过控制开关来控制A、B、C、D四个输入端的电平的高低,进而控制输出电平的高低以及报警信号的工作。当输入端与

方案二:用4个异或门连接输入端,并分别于反相器连接,再相与。当输入密码与设置密码相同时,电路输出为高电平,发光二极管不亮,当输入密码与设置密码不相同时,电路输出为低电平,发出报警,发光二极管亮。其电路图如图6.1 3Proteus软件介绍 Proteus软件是来自英国Labcenterelectronics公司的EDA工具软件。 Proteus软件有十多年的历史,在全球广泛使用,除了其具有和其它EDA工具一样的原理布图、PCB自动或人工布线及电路仿真的功能外,其革命性的功能是,他的电路仿真是互动的,针对微处理器的应用,还可以直接在基于原理图的虚拟原型上编程,并实现软件源码级的实时调试,如有显示及输出,还能看到运行后输入输出的效果,配合系统配置的虚拟仪器如示波器、逻辑分析仪等,您不需要别的,Proteus为您建立了完备的电子设计开发环境!尤其重要的是Proteus Lite可以完全免费,也可以花微不足道的费用注册达到更好的效果;功能最强的Proteus专业版也非常便宜,人人用得起,对高校还有更多优惠。?Proteus组合了高级原理布图、混合模式SPICE仿真,PCB设计以及自动布线来实现一个完整的电子设计系统。此系统受益于15年来的持续开发,被《电子世界》在其对PCB设计系统的比较文章中评为最好产品—“The Route to PCB CAD”。Proteus产

四位电子密码锁设计剖析

高级电子线路实验报告 题目:基于FPGA的四位电子密码锁设计院(系):信息与通信学院 专业: 学生姓名: 学号: 2015 年 6 月18 日

基于FPGA的的四位电子密码锁设计 摘要 本文主要介绍了一种运用可编程逻辑器件(FPGA)技术来编程实现电子密码锁的设计方法。在整个系统设计实现中采用FPGA器件作为控制器,继而了解密码锁系统的总体流程、各个模块的功能与接口的分配。基于FPGA,使用VHDL语言编写模块程序,再通过Quartus II 集成开发环境下进行设计、综合与仿真。要求用Verilog HDL语言实现系统中的各个模块功能,并且能够仿真,验证本次设计四位密码锁的功能。每按下一个数字键,就输入一个数值,并显示该数值,当按下密码更改键时会将目前的数字设定成新的密码。当按下激活电锁键的时候可以将密码锁上锁。当按下解除电锁键时会检查输入的密码是否正确,密码正确即开锁。电子密码锁由键盘扫描模块、分频模块、密码计数模块、比较器模块、存储模块、显示模块和控制模块七大部分组成。通过硬件描述,验证了整个设计的正确性以及系统的完整性。 关键词:可编程逻辑器件;电子密码锁;硬件描述;时序仿真

引言 本设计利用QuartusⅡ工作平台硬件描述语言,设计一种电子密码锁,并通过用FPGA 芯片实现。用VHDL语言使用自顶向下的方法对系统进行了描述,并在FPGA芯片上实现。设计充分利用了FPGA的资源可编程特性,可高效率的对系统进行升级与改进。设计的密码锁可设置任意密码,比一般的四位密码锁具有更高的安全可靠性,因此,采用FPGA 开发的数字系统,不仅具有很高的工作可靠性,其升级与改进也极其的方便,应用前景十分宽广。 随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高,因此导致了电子行业的蓬勃发展。随着电子技术的发展,各类电子产品应运而生,电子密码锁就是其中之一。 在安全技术防范领域,具有防盗报警功能的电子密码锁逐渐代替传统的机械式密码锁,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都获得了大大的提高。随着大规模集成电路技术的发展,特别是单片机的问世,出现了带微处理器的智能密码锁,它除具有电子密码锁的功能外,还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。 1 总体方案设计 1.1 方案论证 方案一:采用数字电路控制。利用数字逻辑电路,实现对锁的电子控制,突破了传统的机械锁的单一性、保密性低、易撬性的缺点,数字电子密码锁具有保密性高、使用灵活性好、安全系数高的优点。虽然采用数字密码锁电路的好处是设计简单,但是由于其实纯电路实际,在系统运行时,延时会比较严重。 方案二:通过单片机实现。现在一种新的方案就是采用一种以AT89S51为核心的单片机控制方案。虽然有灵活的设计和丰富的IO端口,但是单片机运行的缺点是程序运行时容易出现跑飞现象。 通过以上比较显然单片机方案有较大的活动空间,不但能实现所要求的功能,而且能在很大程度上扩大功能,并可以方便的对系统进行升级。但是由于所学知识不能将其有效运用,现有环境不能满足,而且以单片机为核心的密码锁有一定的不足之处,在运行时会产生PC指针错误,因此提出了第三种方案。 方案三:利用FPGA设计数字密码锁。FPGA即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物,是一种超大规模集成电路,具有对电路可重配置能力。通常FPGA都有着上万次的重写次数,也就是说现在的硬件设计和软件设计一样灵活、方便。相对于基于单片机技术的电子密码锁,用FPGA器件来构成系统,可

相关文档
相关文档 最新文档