文档库 最新最全的文档下载
当前位置:文档库 › 【精品推荐】DDS技术在Mobius通信系统中的应用

【精品推荐】DDS技术在Mobius通信系统中的应用

【精品推荐】DDS技术在Mobius通信系统中的应用
【精品推荐】DDS技术在Mobius通信系统中的应用

专 业 推 荐

精 品 文 档

朱传春,苏武浔

(华侨大学福建泉州362021)

【摘要】:DDS技术是一种把一系列数字信号通过DAC转换成模拟信号的合成技术。文章主要介绍DDS和Mobius变换的原理;并将DDS应用在Mobius通信系统中;最后在Matlab中进行仿真。

【关键词】:DDS,Mobius变换,仿真

1.引言

把Mobius变换应用于通信系统中,就是把信号调制在非正交周期函数族上,而这些非正交周期函数族是现代数字通信技术中最常用的信号(例如方波、矩形波、三角波、锯齿波以及全、半波余弦等)的Chen-Mobius逆变换函数族;在信号解调时,则把这些数字信号作为解调信号;由此,在这两族非正交周期函数族的基础上,建立了一个与传统通信系统完全不同的新型Chen-Mobius通信系统。本文将DDS应用在此系统中产生调制解调信号。

2.DDS的基本原理

DDS(Direct Digital Synthesizer)即直接数字合成器,是一种新型的频率合成技术,与VCO(模拟压控振荡器)相比,具有频率分辨率更高﹑频率快速切换和能在频率切换时保持相位的连续等优点,能很方便的实现频率﹑相位﹑和幅度的数控调制。

对于正弦信号S(t)Asinωt=Asin(2πft),令正弦信号的相位θ=2πft,在一个时钟周期内,相位θ的变化量:

(1)

其中f clk为CLK的频率,f为正弦信号频率,将2π等分为份2N,则每个时钟周期的相位增量△θ可用量化值B△θ表示:

(2)

其中B△θ是整数.根据式(1)与式(2),可得:

(3)

可以看出,相位增量量化值B△θ线性决定了信号输出频率,直接数字合成器DDS就是根据上述原理设计的:

图1就是DDS的基本原理图:

图1DDS基本原理图

DDS是利用采样定理,通过查表法产生波形,主要由相位累加器﹑相位调制器﹑波形存储器﹑相位调制器和D/A构成.其中相位累加器是DDS的核心,由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲,加法器将频率控制字与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。累加寄存器将加法器在上一个时钟脉冲作用后所产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位累加。相位累加器输出的数据就是合成信号的相位,相位累加器的溢出频率就是样值经查找表查出,完成相位到幅值转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。最后通过低通滤波器滤除不需要的取样分量,以便输出频谱纯净的波形信号。

3.Mobius变换原理

3.1Mobius函数

Mobius函数定义为:

(4)

可以看出,Mobius函数的取值只有-1﹑0和1。通过计算可以得到Mobius函数的前十个值分别为:

(5)

对于定义在(-∞,+∞)上的两个函数G(x)和g(x),有:

(6)

众所周知,周期函数只要满足狄里赫利条件,就可以展开成用正(余)弦函数表示的傅立叶级数,而Chen-Mobius变换正好实现将正(余)弦函数转换成周期信号。进一步求出该正弦信号的正交函数。

3.2新型Chen-Mobius通信系统原理

对于如周期矩形脉冲信号、对称方波信号、对称三角波信号等波形,它们的函数都可以展开为付立叶级数的形式,经过简单变换后可以写成:

或(7)的形式,其中F(ωt)=f(ωt)-G0,G0为非正、余弦项(常数项)。通过Mobius变换求出的正交函数族

或者

来,其中求和表示对每一个k中的多个因子m求和,m是k的整数因子(包括1和k).可以证明,与是正交的,即有

(8)但是和本身是不正交的。利用这种特性,我们可以利用和这两个正交函数进行通信系统的调制与解调,这就是新型Chen-Mobius通信系统的基本原理。

3.3Chen--Mobius单路通信系统设计与仿真

3.3.1基于DSPBuilder的DDS 设计

2009年第6期福建电脑(上接第72页)

!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!(上接第68页)

第一、导航栏应符合习惯用法。一个标准的导航栏应包含以

下几个内容,网站ID 、栏目导航、页面工具、实用工具、当前页面

名称、底部导航。

第二、导航栏应醒目。既然用于导航,如果难以发现就失去

了实际的作用。

第三、链接名称和内容一致。要使链接名称和所链接内容尽

可能的匹配,不然会造成用户的不信任。

第四、应提供"面包屑导航","面包屑导航"是在每个单独页

面上指示当前位置的小型导航,它可以让用户明确当前所在位

置。

第五、最好能够提供搜索栏。当用户无法通过导航栏找到所

需内容的时候,就会寻求搜索的帮助,因此应该提供搜索栏,但

是要注意的是搜索引擎设计应该合理,避免让用户找到无关的

内容。

3.2首页设计技巧

首页是网站的第一个页面,也是用户最先接触的页面,首页

设计的好坏直接影响用户的心情。首先要明确首页所要完成的

工作,首页应该完成以下几个任务,站点的标识和使命、站点层

次描述、提供搜索功能、导读、显示最新内容、友情链接等。好的

首页设计应该注意以下几个问题。第一、快速传达整体形象。好的设计能够快速的让使用者明确以下四个问题,网站类型、网站目标、网站内容、网站特点。第二、设计优秀的口号。口号是一条精炼的短语、刻画了整个企业,一般出现在站点ID 的下方。口号是非常有效的信息传递方式,要设计一句优秀的口号应该考虑以下几点,清楚、且言之有物;长度适中;能表明网站特点;有个性、生动。第三、首页导航应不同于其他页面。首先,首页的导航栏应具备介绍栏目的功能,因此应该在每个栏目链接下加入简要的说明。其次,为了让客户对网站ID 印象更深刻,首页的导航的网站ID 应该个那个大,且应增加网站的口号。以上是笔者对于建设一个具有可用性的网站的一些建议,当然建设一个优秀的网站是一项巨大的工程,不过如果能够在网站建设过程中活用以上建议,相信一定会提高网站的可用性使得企业取得更高的收益。参考文献:1.黄敏学.网络营销[M].武汉:武汉大学出版社,2000.2.Steve Kurg (美).网状经济时代的全新商业模式[M].北京:北京大学出版社,2006.3.王建国.中小企业电子商务之路[M].北京:清华大学出版社,1999.网资源库建设到一定规模的时候,全体师生一定能深切感受到

现代教育技术的巨大优势。参考文献:1:《信息资源组织与检索》机械工业出版社,

2:《学术数字资源引进与管理》东南在学出版社,

3:《信息资源管理》清华大学出版社系统级(算法仿真模块)和RTL 级(硬件实现)两个设计领域的

设计工具(Matlab 和Quartus Ⅱ)连接起来,最大程度地发挥了两

种工具的优势。

根据前面介绍的DDS 工作原理,我们可以运用DSPBuilder

搭建出如图2所表示的DDS 顶层模块。图2DDS 模型

其中3个输入,分别为Freqword (频率控制字)、Phaseword

(相位控制字)、Amp(幅度控制字),一个输出,即DDSout 输出。

因为本文主要介绍DDS 技术在Mobius 通信系统中的应

用,所以这里只介绍DDS 模块的设计,其他模块就不一一介绍

了。

3.3.2基于DSPBuilder 的Chen--Mobius 单路通信系统设计与仿

真图3Chen--Mobius 单路通信系统图3就是在Matlab 中搭建的Chen--Mobius 单路通信系统.

Signal 是输入信号,Tzb1和Tzb2是DDS 产生的调制信号,

Jtb 是DDS 产生解调信号。其中调制波与解调波是相互正交的,输入信号Signal 经调制信号调制,传输到接受端,再经解调信号解调,经过IIR 滤波器滤波输出,这就是Chen--Mobius 单路通信系统的信号流程。

下图为Chen--Mobius 单路通信系统的仿真结果。

4、总结DDS 技术在通信领域的应用越来越广泛,尤其在数字化的调制解调模块中。本文根据Mobius 变换的原理,利用DDS 的显著优点,将其应用于Chen--Mobius 单路通信系统来产生调制解调信号,可以看出结果十分理想。参考文献:1.Chen NX.Modified Mobius Inverse Formula and Its Applications in

Physics.Phys.Rev.Lett.,MAR 121990,64(11):1193-1195.

2.Su wuxun et al,"The evaluations of the inverse transform of eight often-

used

waveforms by Mobius transform---the inverse transform of their Fourier

series",

3.潘松,黄继业等.SOPC 技术使用教程[M].北京:清华大学出版社,2005

4.潘松,黄继业等,现代DSP 技术[M].西安电子科技大学出版社,2003

5.曹炜.基于FPGA 的Chen-Mobius 通信系统[D],华侨大学硕士学位论

,200780

DDS基本原理及技术指南

DDS基本原理及技术指南 2012年03月20日12:03 eechina 分享 关键词:DDS , 频率合成 随着数字技术在仪器仪表和通信系统中的广泛使用,可从参考频率源产生多个频率的数字控制方法诞生了,即直接数字频率合成(DDS)。其基本架构如图1所示。该简化模型采用一个稳定时钟来驱动存储正弦波(或其它任意波形)一个或多个整数周期的可编程只读存储器(PROM)。随着地址计数器逐步执行每个存储器位置,每个位置相应的信号数字幅度会驱动DAC,进而产生模拟输出信号。最终模拟输出信号的频谱纯度主要取决于DAC。相位噪声主要来自参考时钟。 DDS是一种采样数据系统,因此必须考虑所有与采样相关的问题,包括量化噪声、混叠、滤波等。例如,DAC 输出频率的高阶谐波会折回奈奎斯特带宽,因而不可滤波,而基于PLL的合成器的高阶谐波则可以滤波。此外,还有其它几种因素需要考虑,稍后将会讨论。 图1:直接数字频率合成系统的基本原理 这种简单DDS系统的基本问题在于,最终输出频率只能通过改变参考时钟频率或对PROM重新编程来实现,非常不灵活。实际DDS系统采用更加灵活有效的方式来实现这一功能,即采用名为数控振荡器(NCO)的数字硬件。图2所示为该系统的框图。

图2:灵活的DDS系统 系统的核心是相位累加器,其内容会在每个时钟周期更新。相位累加器每次更新时,存储在△相位寄存器中的数字字M就会累加至相位寄存器中的数字。假设△相位寄存器中的数字为00...01,相位累加器中的初始内容为00...00。相位累加器每个时钟周期都会按00...01更新。如果累加器为32位宽,则在相位累加器返回至00...00前需要232(超过40亿)个时钟周期,周期会不断重复。 相位累加器的截断输出用作正弦(或余弦)查找表的地址。查找表中的每个地址均对应正弦波的从0°到360°的一个相位点。查找表包括一个完整正弦波周期的相应数字幅度信息。(实际上,只需要90°的数据,因为两个MSB中包含了正交数据)。因此,查找表可将相位累加器的相位信息映射至数字幅度字,进而驱动DAC。图3用图形化的“相位轮”显示了这一情况。 考虑n = 32,M = 1的情况。相位累加器会逐步执行232个可能的输出中的每一个,直至溢出并重新开始。相应的输出正弦波频率等于输入时钟频率232分频。若M=2,相位累加器寄存器就会以两倍的速度“滚动”计算,输出频率也会增加一倍。以上内容可总结如下: 图3:数字相位轮

dds工作原理

电磁无损检测中信号发生器的设计与实 现 [日期:2005-6-24] 来源:国外电子元器件作者:彭国标 [字体:大中 小] 摘要:在电磁无损检测系统中,信号频率及其稳定性对检测效果影响很大,为了解决该难题,文中给出了一种应用DDS技术设计的新型信号发生器解决方案。该信号发生器具有频率精度高、稳定性好、分辨率高的特点,有利于提高电磁无损检测的效果。 关键词:电磁无损检测;信号发生器;直接数字频率合成 电磁无损检测(如涡流)是基于电磁感应原理的无损检测技术。当载有交变电流的检测线圈靠近导体时,由于交变电流在周围空间中会产生交变磁场,因而在被测试件(导体)表面产生趋肤效应并感应出交变电流。通过优化设计可使被测试件表面电流达到均匀分布,从而使周围磁场也达到均匀,而当被测试件表面有缺陷时,电流就会发生畸变,均匀磁场随之发生变化,这样,直接或间接测量出该磁场的变化,就能检测试件的缺陷。虽然人们在电磁无损检测方面已取得了许多进展,但仍有许多不尽人意之处,其中一个重要的因素便是检测系统信号的稳定性,这一点对测量结果会产生严重的影响。因为信号发生电路是整个检测系统的信号源,它的信号频率是否稳定将对整个检测系统的工作起十分关键的作用,信号频率不稳定会给信号的后续处理带来极大的困难,甚至使电磁检测难以进行。为了解决上述问题,笔者应用DDS技术设计了一个高稳定性信号发生器。 1DDS的工作原理 直接数字合成(DDS-DirectDigitalSynthesizer)是近几年发展起来的一种新的频率/波形合成技术。该技术具有频率分辨率高、转换速度快、信号纯度高、相位可控、输出信号无电流脉冲叠加、输出可平稳过渡且相位保持连续变化等优点。近年来在通讯、雷达、GPS、蜂窝基站、图像处理及HDTV等领域得到了广泛应用。

DDS信号发生器原理

2 基本原理 2.1 直接数字频率合成器 直接数字合成(Direct Digital Synthesis,简称DDS)技术是从相位概念出发,直接对参考正弦信号进行抽样,得到不同的相位,通过数字计算技术产生对应的电压幅度,最后滤波平滑输出所需频率。 2.1.1 DDS工作原理 下面,通过从相位出发的正弦函数产生描述DDS的概念。 图1表示了半径R为1的单位圆,半径R绕圆心旋转与X轴的正方向形成夹角θ(t),即相位角。 图1 单位圆表示正弦函数S= R sinθ(t) DDS的原理框图如图2所示。图中相位累加器可在每一个时钟周期来临时将频率控制字(FTW)所决定的相位增量M累加一次,如果记数大于2N,则自动溢出,而只保留后面的N位数字于累加器中[9]。 图2 DDS原理框图

DDS的数学模型可归结为:在每一个时钟周期T c 内,频率控制字M与N比特相位累加器累加一次,并同时对2N取模运算,得到的和(以N位二进制数表示)作为相位值,以二进制代码的形式去查询正弦函数表ROM,将相位信息转变成相应的数字量化正弦幅度值,ROM输出的数字正弦波序列再经数模转换器转变为阶梯模拟信号,最后通过低通滤波器平滑后得到一个纯净的正弦模拟信号。 由于ROM表的规模有限,相位累加器一般仅取高位作为寻址地址送入正弦查询表获得波形幅度值。正弦查询表中以二进制数形式存入用系统时钟对正弦信号进行采样所得的样值点,可见只需改变查询表内容就可实现不同的波形输出。 2.1.2 DDS的结构 DDS的基本结构包括相位累加器、正弦查询表(ROM)、数模转换器(DAC)和低通滤波器(LPF),其中从频率控制字到波形查询表实现由数字频率值输入生成相应频率的数字波形,其工作过程为: ⑴确定频率控制字M; ⑵在时钟脉冲f c 的控制下,该频率控制字累加至相位累加器生成实时数字相位值; ⑶将相位值寻址ROM转换成正弦表中相应的数字幅码。 模块DAC实现将数字幅度值高速且线性地转变为模拟幅度值,DDS产生的混叠干扰由DAC之后的低通滤波器滤除]7[。 ㈠相位累加器 相位累加器是DDS最基本的组成部分,用于实现相位的累加并存储其累加结果。 若当前相位累加器的值为Σ n ,经过一个时钟周期后变为Σ 1+ n ,则满足 Σ 1+ n =Σ n +M Σ n 为一等差数列,不难得出:Σ n =nM+Σ 其中Σ 为相位累加器的初始相位值。 ㈡正弦查询表(ROM) DDS查询表所存储的数据是每一个相位所对应的二进制数字正弦幅值,在每一个时钟周期内,相位累加器输出序列的高m位对其进行寻址,最后的输出为该相位相对应的二进制正弦幅值序列。 ㈢数模转换器(DAC) 数模转换器的作用是将数字形式的波形幅值转换成所要求合成频率的模拟形式

DDS原理及AD9851电路设计、测试

DDS原理及AD9851电路设计、测试 鼎峰电子https://www.wendangku.net/doc/0a13929060.html, 一.DDS(Direct Digital Synthesis)直接频率合成技术概况在频率合成(FS, Frequency Synthesis)领域中,常用的频率合成技术有模拟锁相环、数字锁相环、小数分频锁相环(fractional-N PLL Synthesis)等,直接数字合成(Direct Digital Synthesis-DDS)是近年来新的FS技术。单片集成的DDS产品是一种可代替锁相环的快速频率合成器件。DDS是产生高精度、快速变换频率、输出波形失真小的优先选用技术。DDS以稳定度高的参考时钟为参考源,通过精密的相位累加器和数字信号处理,通过高速D/A变换器产生所需的数字波形(通常是正弦波形),这个数字波经过一个模拟滤波器后,得到最终的模拟信号波形。如图2所示,通过高速DAC产生数字正弦数字波形,通过带通滤波器后得到一个对应的模拟正弦波信号,最后该模拟正弦波与一门限进行比较得到方波时钟信号。 DDS系统一个显著的特点就是在数字处理器的控制下能够精确而快速地处理频率和相位。除此之外,DDS的固有特性还包括:相当好的频率和相位分辨率(频率的可控范围达μHz级,相位控制小于0.09°),能够进行快速的信号变换(输出DAC的转换速率300百万次/秒)。这些特性使DDS在军事雷达和通信系统中应用日益广泛。 其实,以前DDS价格昂贵、功耗大(以前的功耗达Watt级)、DAC器件转换速率不高,应用受到限制,因此只用于高端设备和军事上。随着数字技术和半导体工业的发展,DDS芯片能集成包括高速DAC器件在内的部件,其功耗降低到mW级(AD9851在3.3v 时功耗为650mW),功能增加了,价格便宜。因此,DDS也获得广泛的应用:现代电子器件、通信技术、医学成像、无线、PCS/PCN系统、雷达、卫星通信。

DDS的原理及镜像频谱分析

DDS的原理及镜像频谱分析 1.目的: (1)了解DDS的原理。 (2)分析DDS的镜像频谱 2.DDS的原理 2.1.DDS的概述 直接数字式频率综合器DDS(Direct Digital Synthesizer),实际上是一种分频器:通过编程频率控制字对系统时钟进行分频以产生所需要的频率。DDS 有两个突出的特点,一方面,DDS工作在数字域,一旦更新频率控制字,输出的频率就相应改变,其跳频速率高;另一方面,由于频率控制字的宽度宽(48bit或者更高),频率分辨率高。 图1是DDS的内部结构图,它主要分成3部分:相位累加器(PHASE_ACCMULATOR),相位幅度转换(AMPLITUDE/SINE_CONV.ALGORITHM),数模转换器(D/A_CONVERTER) 图1 DDS的结构框图 2.2.DDS的组成 1、相位累加器:一个正弦波,它的幅度不是线性的,但是它的相位却是线性增加的。DDS就是 利用了这一特点来产生正弦信号。如图 2,根据DDS的频率控制字的位数N,把 360°平均分成了2N等份。假设系统时钟为Fc,输出频率为Fout。每次转动一个 角度360°/2N,则可以产生一个频率为Fc/2N的正弦波的相位递增量。那么只要 选择恰当的频率控制字M,使得Fout/Fc= M/2N,就可以得到所需要的输出频率 Fout=Fc*M /2N。

图2 相位累加器原理 2、相位幅度转换:通过相位累加器,我们已经得到了合成Fout频率所对应的相位信息,然后相 位幅度转换器把0°~360°的相位转换成相位相应的幅度值。比如当DDS选 择为2Vp-p的输出时,45°对应的幅度值为 0.707V,这个数值则以二进制的 形式被送入DAC。这个相位到幅度的转换是通过查表完成的。 3、数模转换器:的二进制数字信号被送入DAC中,并转换成为模拟信号输出。★注意★DAC 的 位数并不影响输出频率的分辨率。输出频率的分辨率是由频率控制字的位数决 定的。 3. DDS的镜像频谱分析: 我们已经知道DDS是一个分频器,在提供一个系统主频的情况下,能够输出低于系统主频,分辨率为2N的正弦波。即每一个主频周期,DAC都会输出一个点,而2N/M个点形成输出频率的一个周期。这就相当于以系统时钟的频率对输出时钟进行采样,根据奈奎斯特定律,这就是为什么输出频率要低于系统时钟的50%的原因。 下图3为DDS在300M主频,输出80M频率时的频谱。图4为AD9954(主频为400M)输出80M 频率时的频谱(无参考时钟倍频器)。

DDS波形发生器电路原理及功能

DDS波形发生器电路组成及功能 、DDS波形发生器电路的组成 本系统以单片机STC89C52为核心,采用了直接数字合成技术(DDS),实现了频 率任意调节的正弦波,方波,三角波以及特定的任意信号,产生的各类波形精度高,稳定性好,采用友好的人机界面,操作方便。系统总框图如下图所示: (1)相位累加地址发生器 使用可编程逻辑器件IC4 GAL16V8编程得到累加相位码,也就是波形的地址码。这里的GAL16V8是100进制计数器,相位累加时,对应一个波形100个数据的地址。 GAL16V8拥有8个输入端和8个输出端,可以单独选择每个输出端的极性,灵活的进行输出端的组合排列、可重复编程、频带范围宽,工作频率很高。使用GAL16V8做相位累加地址发生器使得电路更加简洁,保证在高频率下的稳定工作。 (2)数据存储器 使用外部ROM数据存储器IC5 27C64,已经预先把相关波形的量化数据写入该外部ROM中。 (3)D/A模数转换电路 使用数模转换集成芯片IC6 DAC0832,DAC0832是一个8位的电流式的数模转换器,就是把波形数据转化为波形真实的模拟信号。波形信号为电流信号,因此输出端还要接运算放大器,把电流信号转换为电压信号。 (4)双极性转换电路及滤波电路 可 编 程 .M 来 信 号 信 号 報 动 输 出 DDS波形发生器系统框图

采用反相比例运算放大电路IC7 TL084 中的A,B 运放,将D/A 输出的单极性信号转 换为双极性信号。 为确保产生波形的质量,减少波形失真度,使输出波形光滑,须用低通滤波器把高频分量滤掉。在此采用自动线性跟踪Butterworth 有源滤波器,在此采用二阶有源低通滤波器,即TL084 中的 C 运放及R19,C18,R20,C10 两节低通滤波器组成。 (5)显示及控制电路 本机器需要进行人机对话,根据系统设计要求,采用独立式微动按钮S2?7输入,八只七段LED1?8数码管作为输出显示,清晰可见。使用时可以选择方波、三角波和正弦波三种波形的输出;可调节不同波形的输出频率,并实现了1Hz 的频率步进。 (6)单片机电路 单片机电路是DDS 波形发生器的核心电路,它由微处理器IC12 AT89S52 及外围电路、元器件等组成。它由P0和P1共16个端口与显示电路相连接,把各种的输出波形的相关数据送到八只七段LED i?8数码管显示。 还与可编程逻辑器件IC4 ,外部ROM 数据存储器IC5 相连接,提供可编程基准信号:使用单片机定时器产生固定频率,波形稳定,为相位累加地址发生器提供基准信号。 另外由P2.0?P2.5接键盘的6只微动按钮S2?7,作控制输出信号之用。 微处理器IC12已经按功能要求,把相关的程序写入到芯片中。 (7)电源电路 本系统中,许多集成电路,如单片机、D/A 电路、运算放大器等都需要直流电源进行供电才能工作。单片机、EEPROM等都以5V电源供电,而运算放大器需要±2V供电,因此在电源板的设计过程中,要同时获得+5V、±2V的直流电压。LM7812输出电压为 +12V,LM7912输出电压为-12V,LM7805输出电压为+5V,三者的性能都能满足 设计要求。 在具体电路中,由市电AC220V经变压器降压为双AC12V后,接入到经由二极管VD3 组成的单相全波整流电桥整流,由电容器C8?11滤波后一路电压经过三端稳压器 ?6 LM7912稳压后输出+12V,另一路电压经过三端稳压器LMC7912稳压后输出-12V电压。 ±2V电压作为模拟放大器的电源电压,电容器C12?17是滤波电容。其中+12V电压经三端稳压器LM7805 稳压后输出+5V 电压,作为AT89S51、CAL16V8、27C64、URL2803、DAC0832、74LS244等数字集成电路的电源电压。考虑到D/A转换器在进行数模转换时,需要较稳定、精度较高的参考电压,在电源板设计过程中,将LM7812输出的+12V电

DDS介绍

DDS介绍(自己整理) DDS概要 1971年,美国学者J.Tierney等人撰写的“A DIGITAL Frequency Synthesizer”-文首次提出了以全数字技术,从相位概念出发直接合成所需波形的一种新给成原理。限于当时的技术和器件产,它的性牟指标尚不能与已有的技术盯比,故未受到重视。近1年间,随着微电子技术的迅速发展,直接数字频率合成器(Direct DIGITAL Frequency Synthesis简称DDS或DDFS)得到了飞速的发展,它以有别于其它频率合成方法的优越性能和特点成为现代频率合成技术中的姣姣者。具体体现在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。 一、 DDS原理和结构 DDS的基本大批量是利用采样定量,通过查表法产生波形。DDS的结构有很多种,其基本的电路原理可用图1来表示。 相位累加器由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲fs,加法器将控制字k与累加寄存器输出的累加相位数据相加,把相加后的结果送到累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位加累加。由此可以看出,相位累加器在每一个中输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的出频率就是DDS输出的信号频率。 用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址。这样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成相位到幅值转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。 DDS在相对带宽、频率转换时间、高分头放力、相位连续性、正交输出以及集成化等一系列性能指标方面远远超过了传统频率合成技术所能达到的水平,为系统提供了优于模拟信号源的性能。 下面以AD9850为例来谈一谈DDS的工作原理。DDS系统的核心是相位累加器,每来一个时钟脉冲,它的内容就更新一次。在每次更新时,相位增量寄存器的相位增量M就加到相位累加器中的相位累加值上。假设相位增量寄存器的M为00...01,相位累加器的初值为00...00。这时在每个时钟周期,相位累加器都要加上00...01。如果累加器位宽n是32位,相位累加器就需要232个时钟周期才能恢复初值(见图2)。 相位累加器的输出作为正弦查找表的查找地址。查找表中的每个地址代表一个周期的正

DDS信号发生器原理

DDS信号发生器原理

相 位正弦数模低通滤时钟 频 (f 控制输出 2 基本原理 2.1 直接数字频率合成器 直接数字合成(Direct Digital Synthesis ,简称DDS )技术是从相位概念出发,直接对参考正弦信号进行抽样,得到不同的相位,通过数字计算技术产生对应的电压幅度,最后滤波平滑输出所需频率。 2.1.1 DDS 工作原理 下面,通过从相位出发的正弦函数产生描述DDS 的概念。 图1表示了半径R 为1的单位圆,半径R 绕圆心旋转与X 轴的正方向形成夹角θ(t),即相位角。 图1 单位圆表示正弦函数S= R sinθ(t) DDS 的原理框图如图2所示。图中相位累加器可在每一个时钟周期来临时将频率控制字(FTW )所决定的相位增量M 累加一次,如果记数大于2N ,则自动溢出,而只保留后面的N 位数字于累加器中[9]。 图2 DDS 原理框图

DDS的数学模型可归结为:在每一个时钟周期T c 内,频率控制字M与N比特相位累加器累加一次,并同时对2N取模运算,得到的和(以N位二进制数表示)作为相位值,以二进制代码的形式去查询正弦函数表ROM,将相位信息转变成相应的数字量化正弦幅度值,ROM输出的数字正弦波序列再经数模转换器转变为阶梯模拟信号,最后通过低通滤波器平滑后得到一个纯净的正弦模拟信号。 由于ROM表的规模有限,相位累加器一般仅取高位作为寻址地址送入正弦查询表获得波形幅度值。正弦查询表中以二进制数形式存入用系统时钟对正弦信号进行采样所得的样值点,可见只需改变查询表内容就可实现不同的波形输出。 2.1.2 DDS的结构 DDS的基本结构包括相位累加器、正弦查询表(ROM)、数模转换器(DAC)和低通滤波器(LPF),其中从频率控制字到波形查询表实现由数字频率值输入生成相应频率的数字波形,其工作过程为: ⑴确定频率控制字M; ⑵在时钟脉冲f c 的控制下,该频率控制字累加至相位累加器生成实时数字相位值; ⑶将相位值寻址ROM转换成正弦表中相应的数字幅码。 模块DAC实现将数字幅度值高速且线性地转变为模拟幅度值,DDS产生的混叠干扰由DAC之后的低通滤波器滤除]7[。 ㈠相位累加器 相位累加器是DDS最基本的组成部分,用于实现相位的累加并存储其累加结果。 若当前相位累加器的值为Σ n ,经过一个时钟周期后变为Σ 1+ n ,则满足 Σ 1+ n =Σ n +M Σ n 为一等差数列,不难得出:Σ n =nM+Σ 其中Σ 为相位累加器的初始相位值。 ㈡正弦查询表(ROM) DDS查询表所存储的数据是每一个相位所对应的二进制数字正弦幅值,在每一个时钟周期内,相位累加器输出序列的高m位对其进行寻址,最后的输出为该相位相对应的二进制正弦幅值序列。 ㈢数模转换器(DAC) 数模转换器的作用是将数字形式的波形幅值转换成所要求合成频率的模拟形式

DDS简介

DDS简介 DDS 直接数字频率合成技术(Direct Digital Frequency Synthesis,即DDFS,一般简称DDS),是从相位概念出发直接合成所需要波形的一种新的频率合成技术。目前各大芯片制造厂商都相继推出采用先进CMOS工艺生产的高性能、多功能的DDS芯片,为电路设计者提供了多种选择。然而在某些场合,专用DDS芯片在控制方式、置频速率等方面与系统的要求差距很大,这时如果用高性能的FPGA器件来设计符合自己需要的DDS电路,就是一个很好的解决方法。 ACEX 1K器件是Altera公司着眼于通信、音频处理及类似场合的应用而推出的芯片系列,总的来看将会逐步取代FLEX 10K 系列,成为首选的中规模器件产品。它具有如下优点:* 高性能。ACEX 1K器件采 用查找表(LUT)和EAB(嵌入式阵列块)相结合的结构,特别适用于实现复杂逻辑功能和存储器功能,例如通信中应用的DSP、多通道数据处理、数据传递和微控制等。 * 高密度。典型门数为1万到10万门,有多达49,152位的RAM(每个EAB有4,096位RAM)。 * 系统性能。器件内核采用2.5V电压,功耗低,能够提供高达250MHz的双向I/O功能,完全支持33MHz和66MHz的PCI局部总线标准。 * 灵活的内部互联。具有快速连续式、延时可预测的快速通道互连;能提供实现快速加法器、计数器、乘法器和比较器等算术功能的专用进位链和实现高速多扇入逻辑功能的专用级联链。 本次设计采用的是ACEX EP1K50,典型门数50000门,逻辑单元2880个,嵌入系统块10个,完全符合单片实现DDS电路的要求。设计工具为Altera的下一代设计工具Quartus 软件。 DDS的工作原理和电路结构 DDS以数控振荡器的方式,产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。其中:* 频率累加器对输入信号进行累加运算,产生频率控制数据或相位步进量。 * 相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y. * 幅度/相位转换电路实质是一个波形存储器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。 具体工作过程如下:每来一个时钟脉冲,N位加法器将频率控制数据X与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的输入端。累加寄存器一方面将在上一时钟周期作用后所产生的新的相位数据反馈到加法器的输入端,以使加法器在下一时钟的作用下继续与频率控制数据X相加;

DDS-11A电导率仪的测量原理与使用方法

一、测量原理 电导率仪的工作原理如图所示。 1.振荡器 2.电导xx 3.放大器 4.指示器 把振荡器产生的一个交流电压源E,送到电导池Rx与量程电阻(分压电 阻)Rm的串联回路里,电导池里的溶液电导愈大,Rx愈小,Rm获得的电压Em 也就越大。将Em送至交流放大器放大,再经过讯号整流,以获得推动表头的直流讯号输出,表头直读电导率。 二、使用方法 (1)打开电源开关前,应观察表针是否指零,若不指零时,可调节表头的螺丝,使表针指零。 (2))根据电极选用原则,选好电极并插入电极插口。各类电极要注意调节好配套电极常数。 将校正、测量开关拨在“校正”位置。 (3)插好电源后,再打开电源开关,此时指示灯亮。预热数分钟,待指针完全稳定下来为止。 调节校正调节器,使表针指向满刻度。 (4)根据待测液电导率的大致范围选用低周或高周,并将高周、低周开关拨向所选位置。

(5)将量程选择开关拨到测量所需范围。如预先不知道被测溶液电导率的大小,则由最大档逐档下降至合适范围,以防表针打弯。 (6)倾去电导池中电导水将电导池和电极用少量待测液洗涤2~3次,再将电极浸入待测液中并恒温。 (7)将校正、测量开关拨向“测量”,这时表头上的指示读数乘以量程开关的倍率,即为待测液的实际电导率。 (8)当用0μS·cm-1~ 0.1μS·cm-1或0μS·cm-1~ 0.3μS·cm-1这两档测量高纯水时,在电极未浸入溶液前,调节电容补偿调节器,使表头指示为最小值(此最小值是电极铂片间的漏阻,由于此漏阻的存在,使调节电容补偿调节器时表头指针不能达到零点),然后开始测量。 (9)10mV的输出可以接到自动平衡记录仪或进行计算机采集。 附录: 重蒸馏水 蒸馏水是电的不良导体。但由于溶有杂质,如二氧化碳和可溶性固体杂质,它的电导显得很大,影响电导测量的结果,因而需对蒸馏水进行处理。处理的方法是向蒸馏水中加人少量高锰酸钾,用硬质玻璃烧瓶进行蒸馏。

基于DDS的基本原理设计的低频信号发生器

摘要 本课程设计是基于DDS的基本原理设计的低频信号发生器。以AT89C51单片机为核心。通过R-2R网络作为数模转换器件,将已经生成的数字信号进行数模转换,最终实现模拟信号的输出。本次课程设计使用了KILE软件对程序进行编译和PROTEUS软件对实验电路和结果进行仿真,波形的产生和相应的频率由软件编程来实现;波形类型选择和频率大小由按键来控制输出的信号经过滤波放大最后由输出终端输出。这个信号发生器最终可以产生正弦波、三角波、方波、锯齿波。 关键词:信号发生器;AT89C51;R-2R;DDS

目录 1引言 (3) 1.1设计目的 (3) 1.2设计要求 (3) 2 设计方案和原理 (3) 2.1设计原理 (3) 2.2主程序设计 (4) 2.3设计思想 (5) 3 硬件设计 (5) 3.1硬件原理框图 (5) 3.2资源分配 (6) 3.3振荡器特性 (6) 3.4芯片擦除 (6) 3.5 R-2R网络 (6) 3.6电路结构及原理 (7) 4 软件设计 (7) 4.1正弦波程序设计 (7) 4.2三角波程序设计 (8) 4.3方波程序设计 (8) 4.4锯齿波程序设计 (8) 5 仿真及调试 (9) 5.1 R-2R网络的仿真 (9) 5.2仿真结果 (9) 6 总结 (10) 7 参考文献 (11) 附录1 在PROTEUS下面的仿真图 (11) 附录2源程序 (11)

基于51单片机的函数信号发生器 1引言 1.1设计目的 波形发生器是信号源的一种,主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它的应用非常广泛。它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 1.2设计要求 本文是做基于R-2R网络的低频信号发生器的设计,将采用编程的方法来实现三角波、锯齿波、矩形波、正弦波的发生。根据设计的要求,对各种波形的频率和幅度进行程序的编写,并将所写程序装入单片机的程序存储器中。在程序运行中,当接收到来自外界的命令,需要输出某种波形时再调用相应的中断服务子程序和波形发生程序,经电路的数/模转换器和运算放大器处理后,从信号发生器的输出端口输出。 制作低频信号发生器可以用八位的R-2R网络作为DA转换器来实现,将输出的模拟量通过低通滤波器,即可得到频率稳定、失真度小的波形。 2 设计方案和原理 2.1设计原理 数字信号可以通过数/模转换器转换成模拟信号,因此可通过产生数字信号再转换成模拟信号的方法来获得所需要的波形。89C51单片机本身就是一个完整的微型计算机,具有组成微型计算机的各部分部件:中央处理器CPU、随机存取存储器RAM、只读存储器ROM、I/O接口电路、定时器/计数器以及串行通讯接口等,只要将89C51再配置键盘及其接口、数模转换及波形输出等部分,即可构成所需的波形发生器,其信号发生器构成原理框图如图1。 图1 信号发生器原理框图 89C51是整个波形发生器的核心部分,通过程序的编写和执行,产生各种各样

DDS信号发生器原理

相位累加器(N比特)正弦查询表 (ROM) 数模转换 (DAC) 低通滤波器 (LPF) 时钟 (f c ) 频率 (f 0) 控制字(M)输出 2 基本原理 2.1 直接数字频率合成器 直接数字合成(Direct Digital Synthesis,简称DDS)技术是从相位概念出发,直接对参考正弦信号进行抽样,得到不同的相位,通过数字计算技术产生对应的电压幅度,最后滤波平滑输出所需频率。 2.1.1 DDS工作原理 下面,通过从相位出发的正弦函数产生描述DDS的概念。 图1表示了半径R为1的单位圆,半径R绕圆心旋转与X轴的正方向形成夹角θ(t),即相位角。 图1 单位圆表示正弦函数S= R sinθ(t) DDS的原理框图如图2所示。图中相位累加器可在每一个时钟周期来临时将频率控制字(FTW)所决定的相位增量M累加一次,如果记数大于2N,则自动溢出,而只保留后面的N位数字于累加器中[9]。 图2 DDS原理框图

DDS的数学模型可归结为:在每一个时钟周期T c 内,频率控制字M与N比特相位累加器累加一次,并同时对2N取模运算,得到的和(以N位二进制数表示)作为相位值,以二进制代码的形式去查询正弦函数表ROM,将相位信息转变成相应的数字量化正弦幅度值,ROM输出的数字正弦波序列再经数模转换器转变为阶梯模拟信号,最后通过低通滤波器平滑后得到一个纯净的正弦模拟信号。 由于ROM表的规模有限,相位累加器一般仅取高位作为寻址地址送入正弦查询表获得波形幅度值。正弦查询表中以二进制数形式存入用系统时钟对正弦信号进行采样所得的样值点,可见只需改变查询表内容就可实现不同的波形输出。 2.1.2 DDS的结构 DDS的基本结构包括相位累加器、正弦查询表(ROM)、数模转换器(DAC)和低通滤波器(LPF),其中从频率控制字到波形查询表实现由数字频率值输入生成相应频率的数字波形,其工作过程为: ⑴确定频率控制字M; ⑵在时钟脉冲f c 的控制下,该频率控制字累加至相位累加器生成实时数字相位值; ⑶将相位值寻址ROM转换成正弦表中相应的数字幅码。 模块DAC实现将数字幅度值高速且线性地转变为模拟幅度值,DDS产生的混叠干扰由DAC之后的低通滤波器滤除]7[。 ㈠相位累加器 相位累加器是DDS最基本的组成部分,用于实现相位的累加并存储其累加结果。 若当前相位累加器的值为Σ n ,经过一个时钟周期后变为Σ 1+ n ,则满足 Σ 1+ n =Σ n +M Σ n 为一等差数列,不难得出:Σ n =nM+Σ 其中Σ 为相位累加器的初始相位值。 ㈡正弦查询表(ROM) DDS查询表所存储的数据是每一个相位所对应的二进制数字正弦幅值,在每一个时钟周期内,相位累加器输出序列的高m位对其进行寻址,最后的输出为该相位相对应的二进制正弦幅值序列。 ㈢数模转换器(DAC) 数模转换器的作用是将数字形式的波形幅值转换成所要求合成频率的模拟形式

DDS电路设计

DDS 电路设计 摘要 本文介绍了DDS的原理,给出了用Altera Cyclone 1 EP1CQ240C8 FPGA芯片实现直接数字频率合成器的工作原理、设计思路、电路结 构和仿真结果以及频谱纯度分析。

关键词:直接数字频率合成(DDS);现场可编程门阵列(FPGA);相位累加器 一、DDS原理概述 1、DDS在基本原理 框图如图所示。它主要由标准参考频率源、相位累加器、波形存储器、数/模转换器、低通平滑滤波器等构成。其中,参考频率源一般是一个高稳定度的晶体振荡器,其输出信号用于DDS中各部件同步工作。DDS的实质是对相位进行可控等间隔的采样。 为实现全数字化的频率可调的频率合成器,本系统基于FPGA采用Verilog HDL设计而成直接数字频率合成器(DDS)。 系统由加法器、累加寄存器、波形存储器、D/A转换器、低通滤波器构成。在FPGA 里面做到的是D/A转换器之前的部分。 图一DDS原理图 DDS系统的核心是相位累加器,它由一个N位累加器与N位相位寄存器构成。时钟脉冲每触发一次,累加器便将频率控制数据与相位寄存器输出的累加相位数据相加,然后把相加后的结果送至相位寄存器的数据输入端。相位寄存器将累加器在上一个时钟作用后所产生的新相位数据反馈到累加器的输入端,以使加法器在下一个时钟的作用下继续与频率控制数据相加。这样,相位累加器在参考时钟的作用下将进行线性相位累加,当相位累加器累加满时,就会产生一次溢出,以完成一个周期性的动作,这个周期就是DDS合成信号的一个频率周期,相位累加器的溢出频率就是DDS输出的信号频率。 2、DDS参数计算

相位寄存器每经过2N/M 个f c 时钟后回到初始状态,相应地正弦查询表经过一个循环回到初始位置,整个DDS 系统输出一个正弦波。 输出正弦波频率: 2 N C out f f M ? = 本设计中,N=10,M 为位宽为32的频率控制字,fc=20Mhz 二、DDS 电路结构设计 1、电路描述 接口信号 名称 位宽 方向 描述 备注 freq 32 输入 输入频率字 reset 1 输入 复位 高电平异步复位 clock 1 输入 时钟 上升沿有效 sinout 8 输出 输出波形 2补码格式 2、电路结构 DDS 模块RTL Viewer

DDS基本原理 文档

DDS技术的工作原理 DDS是一种把一系列数字形式的信号通过数/模转换器转换成模拟量形式的信号合成技术。DDS有两种基本合成方式:一种是根据正弦函数关系式,按照一定的时间间隔利用计算机进行数字递推关系计算,求解瞬时正弦函数幅值并实时的送入数/模变换器,从而合成出所要求的频率的正弦波信号,这种合成方式具有电路简单、成本低的特点,并且合成信号的频率分辨率可以做到很高;另一种就是利用硬件电路取代计算机软件运算过程,即利用高速存储器做查询表,通过高速数/模转换器产生已经用数字形式存入的正弦波,这是目前使用最广泛的一种直接数字频率合成方法。 根据奈奎斯特取样定理,对于任意一个频率带宽为B的连续信号() f t进行抽样, 只要这些取样值的时间间隔小于1/2B,则这样表示是包含连续信号() f t的全部信息的。再对抽样后的信号进行量化,则原来的模拟信号() f t就变成了一系列的数字序列。将这一系列的量化值通过一定的手段固化在只读存储器中,每个存储单元的地址即是对应的相位取样地址,存储单元的内容即是已经量化了的正弦波幅值。这样一个只读存储器就构成了一个与2 周期内相位取样相对应的正弦函数功能表。在一定频率的时钟信号的作用下,通过一个线性的计数时序数列发生器所产生的取样地址对已得到的正弦波形存储器进行循环扫描,近而周期性的读取存储器中的数据,其输出通过数/模转换器以及低通滤波器就可以合成一个完整的具有一定频率的正弦波了。 图表 1 DDS技术的原理图 图1中的参考频率源是一个高稳定的晶振,其输出信号用于提供DDS中各部件的同步工作。N位数据锁存器用于接收外部控制器送来的频率控制参数,把这些数据送到N位相位累加器中的加法器数据输入端,在外部信号未改变合成信号频率指令前,N位数据锁存器中的数据保持不变。相位累加器由N位加法器与N位累加寄存器级联构成,如下图:

DDS概述

楼主大中小发表于2007-10-26 15:05 只看该作者 DDS介绍(自己整理) DDS概要 1971年,美国学者J.Tierney等人撰写的“A DIGITAL Frequency Synthesizer”-文首次提出了以全数字技术,从相位概念出发直接合成所需波形的一种新给成原理。限于当时的技术和器件产,它的性牟指标尚不能与已有的技术盯比,故未受到重视。近1年间,随着微电子技术的迅速发展,直接数字频率合成器(Direct DIGITAL Frequency Synthesis简称DDS或DDFS)得到了飞速的发展,它以有别于其它频率合成方法的优越性能和特点成为现代频率合成技术中的姣姣者。具体体现在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。DDS是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。一、DDS原理和结构 DDS的基本大批量是利用采样定量,通过查表法产生波形。DDS的结构有很多种,其基本的电路原理可用图1来表示。相位累加器由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲fs,加法器将控制字k与累加寄存器输出的累加相位数据相加,把相加后的结果送到累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相位加累加。由此可以看出,相位累加器在每一个中输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的出频率就是DDS输出的信号频率。用相位累加器输出的

DDS波形发生器电路原理及功能

DDS波形发生器电路组成及功能 一、DDS波形发生器电路的组成 本系统以单片机STC89C52为核心,采用了直接数字合成技术(DDS),实现了频率任意调节的正弦波,方波,三角波以及特定的任意信号,产生的各类波形精度高,稳定性好,采用友好的人机界面,操作方便。系统总框图如下图所示: DDS波形发生器系统框图 (1)相位累加地址发生器 使用可编程逻辑器件IC4GAL16V8编程得到累加相位码,也就是波形的地址码。这里的GAL16V8是100进制计数器,相位累加时,对应一个波形100个数据的地址。GAL16V8拥有8个输入端和8个输出端,可以单独选择每个输出端的极性,灵活的进行输出端的组合排列、可重复编程、频带范围宽,工作频率很高。使用GAL16V8做相位累加地址发生器使得电路更加简洁,保证在高频率下的稳定工作。 (2)数据存储器 使用外部ROM数据存储器IC527C64,已经预先把相关波形的量化数据写入该外部ROM中。 (3)D/A模数转换电路 使用数模转换集成芯片IC6DAC0832,DAC0832是一个8位的电流式的数模转换器,就是把波形数据转化为波形真实的模拟信号。波形信号为电流信号,因此输出端还要接运算放大器,把电流信号转换为电压信号。 (4)双极性转换电路及滤波电路

采用反相比例运算放大电路IC7TL084中的A,B运放,将D/A输出的单极性信号转换为双极性信号。 为确保产生波形的质量,减少波形失真度,使输出波形光滑,须用低通滤波器把高频分量滤掉。在此采用自动线性跟踪Butterworth有源滤波器,在此采用二阶有源低通滤波器,即TL084中的C运放及R19,C18,R20,C10两节低通滤波器组成。 (5)显示及控制电路 输入,八本机器需要进行人机对话,根据系统设计要求,采用独立式微动按钮S2 ~7 只七段LED1 数码管作为输出显示,清晰可见。使用时可以选择方波、三角波和正弦~8 波三种波形的输出;可调节不同波形的输出频率,并实现了1Hz的频率步进。 (6)单片机电路 单片机电路是DDS波形发生器的核心电路,它由微处理器IC12AT89S52及外围电路、元器件等组成。它由P0和P1共16个端口与显示电路相连接,把各种的输出波 数码管显示。 形的相关数据送到八只七段LED1 ~8 还与可编程逻辑器件IC4,外部ROM数据存储器IC5相连接,提供可编程基准信号:使用单片机定时器产生固定频率,波形稳定,为相位累加地址发生器提供基准信号。 ,作控制输出信号之用。 另外由P2.0~P2.5接键盘的6只微动按钮S2 ~7 微处理器IC12已经按功能要求,把相关的程序写入到芯片中。 (7)电源电路 本系统中,许多集成电路,如单片机、D/A电路、运算放大器等都需要直流电源进行供电才能工作。单片机、EEPROM等都以5V电源供电,而运算放大器需要±12V供电,因此在电源板的设计过程中,要同时获得+5V、±12V的直流电压。LM7812输出电压为+12V,LM7912输出电压为-12V,LM7805输出电压为+5V,三者的性能都能满足设计要求。 在具体电路中,由市电AC220V经变压器降压为双AC12V后,接入到经由二极管VD3~6组成的单相全波整流电桥整流,由电容器C8~11滤波后一路电压经过三端稳压器LM7912稳压后输出+12V,另一路电压经过三端稳压器LMC7912稳压后输出-12V电压。±12V电压作为模拟放大器的电源电压,电容器C12~17是滤波电容。其中+12V电压经三端稳压器LM7805稳压后输出+5V电压,作为AT89S51、CAL16V8、27C64、URL2803、DAC0832、74LS244等数字集成电路的电源电压。考虑到D/A转换器在进行数模转换时,

dds设计文档

1.设计概述(述一下你的设计,如:设计意图,适用范围以及针对用户群。同时请简要阐述一下你选用本款 Altera 器件来进行设计的原因。) 为了能拥有一个操作简单,输出频率精度高且稳定,并且拥有足够宽的输出频率范围,所以本项目设计了基于FPGA的任意波形发生器。本项目的设计由以下几个模块组成:PS/2键盘,FPGA-ER4CE6F17C8开发板,高速D/A模块,TFT 显示屏。PS/2键盘主要功能是选择任意波形任意 2.功能概述(说明你作品的功能以及如何实现该功能) 本次设计的100M-DDS波形发生器外设有PS/2键盘输入,TFT彩屏输出。本波形发生器有2路输出,第1路为固定零点相位输出,第2路可以选择相位位移。使用者首先在PS/2键盘输入所需输出的波形选择,按确认键,然后选择相位位移度,按确认键,再输入输出频率,再按确认键,则可以看到TFT彩屏输出要求的波形频率,显示屏同时将波形的相关信息显示出来。 3.性能参数(说明你的设计的性能参数。如果可能,请再比较一下设计参数和该性能实际的实现情况,并评价一下您所使用的 Altera 器件在设计中的功能。) 在设计中使用了IP核中的PLL功能,使得原本50M的时钟频率上升至100M,并且使用了IP核中的ROM功能,在使用ROM功能时将设置ROM中每位数据的位宽为8以及数据量深度位32,所以此设计的输出波形频率可以达到20M,频率精度能达到100M/2^32=0.02HZ。

4.设计结构(设计框图,或同时给出硬件设计框图和软件流程图)

5.设计方法(阐述设计的实现方法和设计实现的步骤,并着重描述如何使用SOPC 概念来实现你的设计) 通过PS/2键盘的输入,可以选择输出波形,调节相位输出,调节频率输出。按键信息发送到FPGA中经过FPGA的处理。FPGA将需要输出的频率通过公式:K=(2^N)*f0/f1计算,其中K为频率控制字,f0为输出频率,f1位输入频率,得出频率控制字K,FPGA再将频率控制字K通过相位累加器得出一系列数据,这些数据就是ROM中的地址,再将ROM中的地址输出,得出输出数字信号,此数字信号则为输出波形的数字信号,再由D/A模块将数字信号转成模拟信号,将模拟信号通过滤波器得出平滑的曲线信号,同时通过TFT彩屏显示输出波形的各项信息。 6.设计特点(说明设计的独到之处,并说明您使用的这款 Altera 器件在什么方面帮助你实现了这一点) 1).在设计当中,由于本身器件的限制,我使用了FPGA中的PLL功能,将原本50M的时钟频率位倍频至100M,使得原本输出频率上限得以提升! 2).使用了ROM这个强大的功能,在ROM中存储数据,最终使用查表的方式得出输出信号,这无疑是使得设计变得非常简便!可移植性也高! 7.总结(在本次大赛过程中学到了什么?通过参加本次比赛,您一定对 Altera 的器件有了更深入地了解并有了自己的使用体会。这些宝贵的心得将对其他正在学习 Altera 器件的人具有很强的参考价值,所以请告诉我们您在本次大赛中的收获。) 通过本次设计,加深了我对Verilog语言的掌握,同时让我学习了DDS工作原理,对FPGA的强大功能有了一个更深的认识!本次设计重点在于DDS的设计以及Verilog的代码编写即模块化思想,模块的划分使得我的设计更有调理性。本次设计不仅让我养成了模块化思想,也使得我学会了PLL的建立,ROM的使用,PS/2键盘的工作原理及接口驱动。在设计过程非常感谢老师的耐心指导以及师兄给予的帮助还有队员的齐心协力,这个过程让我感觉到了团队的重要性!

相关文档