文档库 最新最全的文档下载
当前位置:文档库 › FPGA在软件无线电的应用

FPGA在软件无线电的应用

FPGA在软件无线电的应用
FPGA在软件无线电的应用

软件无线电

中心思想

1992年,MILTRE MILTRE公司的公司的Joseph Mitola Mitola首次明确提出了软件无线电的概念。其中心思想是:

构造一个具有开放性、标准化、模块化构造一个具有开放性、标准化、模块化的通用硬件平台,将各种功能,如工作频段、调制解调类型、数据格式、加密模式、段、调制解调类型、数据格式、加密模式、通信协议等用软件来完成,并使A/D 和和D/A转换器尽可能靠近天线,以研制出具有高度灵活性、开放性的新一代无线通信系统。灵活性、开放性的新一代无线通信系统。

软件无线电基本平台设计

一般说来,软件无线电主要由天线、一般说来,软件无线电主要由天线、射频前端、宽带射频前端、宽带A/D A/D- -D/A D/A转换器、通信和转换器、通信和数字信号处理以及各种软件组成,理想的数字信号处理以及各种软件组成,理想的软件无线电的组成结构如下图

软件无线电的核心技术

带通采样(欠采样)

数字上下变频

调制解调

同步技术

多速率信号处理

数字滤波等

软件无线电的三种结构形式

1。射频低通采样软件无线电结构(Nyquist采样)

这种结构的软件无线电,结构简洁,把模拟电路的数量减少到最低程度,如图所示。从天线进来的信号经过滤波放大后就由A/D进行采样数字化,这种结构不仅对A/D转换器的性能如转换速率、工作带宽、动态范围等提出了非常高的要求,同时对后续DSP 或ASIC(专用集成电路)的处理速度要求也特别的高,因为射频低通采样所需的采样速率至少是射频工作带宽的两倍。比如,工作在1MHz~1000MHz的软件无线电接收机,其采样速率就至少需要2GHz,这样高的采样率A/D 能否达到暂且不说,后接的数字信号处理器也是难以满足要求的。

2。射频直接带通采样软件无线电结构(欠采样)

射频带通采样结构的软件无线电可以较好地解决上述射频低通采样软件无线电结构对A/D转换器、高速DSP等要求过高,以致无法实现的问题。其结构图如下。

这种射频带通采样软件无线电结构与低通采样软件无线电结构的主要不同点是AD 前采用了带宽相对较窄的电调滤波器,然后根据所需的处理带宽进行带通采样。这样对AD的采样速率的要求就不高了,对后续DSP的处理速度要求也可以随之大大降低。但是需要指出的是,这种射频带通采样软件无线电结构对A/D工作带宽的要求(实际上主要是对AD中采样保持器的速度要求)仍然还是比较高的。

3。宽带中频带通采样软件无线电结构(模拟下变频)

宽带中频带通采样结构的软件无线电结构与目前的中频数字化接收机的结构是类似的,都采用了多次混频体制或叫超外差体制,如图所示。这种宽带中频

带通采样软件无线电结构的主要特点是中频带宽更宽(比如20MHz),所有调制解调等功能全部由软件加以实现。中频带宽是这种软件无线电与普通超外差中频数字化接收机的本质区别。显而易见,这种宽带中频带通采样软件无线电结构是上述三种结构中最容易实现的,对器件的性能要求最低,但它离理想软件无线电的要求最远,可扩展性、灵活性也是最差的。

软件无线电接收机中FPGA软件功能框图

三种基于FPGA的采样数字化接收机

由于软件无线电所覆盖的频率范围很宽(因为软件无线电具有很广泛的适应性),所以采用Nyquist采样定理基本上是不现实的,在软件无线电应用中通常会采用带通采样。下面介绍几种基于FPGA的采样数字化模型。

窄带中频采样数字化接收机(这是理想化带通采样模型)

窄带中频采样数字化接收机

上图的理想不能实现:

1由带通采样定理知:当采样速率fs固定的时候,该模型所能处理的信号的中心频率只有有限的几个,即:

2该模型要求A/D前面的抗混叠滤波器在整个频带上保持相同的滤波器带宽和阻带特性,这几乎是不可能做到的。

解决这个问题采用超外差结构:

宽带中频采样数字化接收机(超外差体制)

即用混频把输入信号变换成统一的中心频率,然后数字化。这样,A/D之前的信号的中心频率是固定不变的,如果fo取值恰当,则A/D前的抗混叠滤波器就会容易的多。

宽带中频采样数字化接收机主要特点:

1)处理带宽B>>Bs (信号带宽),中频带宽内包含有多个信道,至于对带宽B内位于某一特定信道上的信号所需进行的解调、分析、识別等处理,将由后续的信号处理器及软件来完成。

2)通过加载不同的信号处理软件可以实现对不同体制,不同带宽以及不同种类的信号的接收解调以及其他处理任务,这样对信号的环境的适应性以及可扩展能力就大大提高了。

3)由于中频带宽增加了,本振信号就可以按照大步进来设计,这样可以大大简化本振源的设计,有利于减小体积,改善性能,降低成本。

釆用超外差接收体制增加了很多模拟电路,如:本振,混频,滤波等等。这些模拟电路不仅造成了信号的失真,而且对缩小体积,降低成本和功耗也是极其不利的。

总之,超外差中频数字化体制严格来讲并不是软件无线电概念上的一种理想结构形

式。其过多的模拟信号处理环节造成的适应性不强,可扩展性差的弊端是显而易见的。

射频直接带通采样数字化接收机

射频直接带通采样数字化接收机示意图

射频直接带通釆样数字化接收机主要特点

1)通常用于单独对一个信号进行接收解调。

2)天线与A/D之间比较接近,只有跟踪滤波器和放大器。如果A/D灵敏度足够高,连放大器都可以不要。因此这种结构和理想化的软件无线电是比较接近的。3)对FPGA的处理速度要求很高。

FPGA器件结构和设计方法

可编程逻辑器件(PLD)

PLD器件的分类--按结构特点

基于与或阵列结构的器件--阵列型PROM,EEPROM,PAL,GAL ,CPLD

基于门阵列结构的器件--单元型FPGA

PLD器件的分类--按编程工艺

熔丝或反熔丝编程器件--Actel的的FPGA器件

体积小,集成度高,速度高,易加密,抗干扰,耐高温扰,耐高温

只能一次编程,在设计初期阶段不灵活

SRAM大多数公司的FPGA器件器件

可反复编程,实现系统功能的动态重构

每次上电后需重新下载,实际应用时需外挂EEPROM用于保存程序EEPROM--大多数CPLD 器件

可反复编程

不用每次上电后重新下载,但相对速度慢,功耗较大

PROM结构

PROM是可编程序只读存储器的简称,它具有一个固定的全解码与门逻辑阵列和一个可编程的或门逻辑阵列

与阵列为全译码阵列,器件的规模将随着输入信号数量的增加成指数级增长。

因此PROM一般只用于数据存储器,不适于实现逻辑函数。

EPROM EEPROM

PAL结构

PLA(Programmable Logic Array)是可编程逻辑阵列的简称,它包含了可编程的与门和或门逻辑阵列,一般采用熔丝结构。它的内部结构在简单PLD中有最高的灵活性。

与阵列可编程使输入项增多,或阵列固定使器件简化。

或阵列固定明显影响了器件编程的灵活性

GAL 结构

GAL器件与PAL器件的区别在于用可编程的输出逻辑宏单元(OLMC)代替固定的或阵列。可以实现时序电路。

FPGA 结构

内部结构有三个部分组成:可编程逻辑块CLB,可编程输入输出块IOB,可编程内部连线PLC

内嵌存储器

FPGA和其他器件的比较

CPLD与与FPGA的区别

FPGA丰富的触发逻辑,易于实现时序逻辑。CPLD触发逻辑较少,适合组合逻辑。FPGA细颗粒度,丰富的连线资源,器件利用率较高。CPLD粗颗粒度,灵活布线能力低,器件利用率低。

FPGA走线不确定,延时不易控制,CPLD固定走线,延时固定,且延时较小。DSP和FPGA区别

DSP从根本上讲是适合串行算法的,多处理器系统是很昂贵的,而且只适合粗粒度的并行运算;FPGA可以在片内实现细粒度,高度并行的运算结构。FPGA和DSP 两者各有所长。

PLD的设计步骤

FPGA的资源不要超过80%,不然性能急剧下降。

FPGA重要设计思想及工程应用

模块化设计(Modular Design)

Modular Design进行由顶向下、分工协作完成大型设计不仅仅能够缩短项目开发周期,提高设计效率,还能提高设计的可靠性与稳定性。

模块化设计方法最显著的优势有两个:一是协同设计,即所有设计小组成员可以在最大程度上互不干扰地设计自己的子模块,从而加速了项目进度;二是在调试、更改某个有缺陷的子模块时,并不会影响到其他模块的实现结果,从而保证了设计的稳定性与可靠性。

模块化设计方法的设计流程:将大规模复杂系统按照一定规则划分成若干模块,然后对每个模块进行设计输入、综合,并将实现结果约束在预先设置好的区域内,最后将所有模块的实现结果有机地组织起来,就能完成整个系统的设计。

流水线

流水线设计是高速电路设计中的一个常用设计手段。如果某个设计的处理流程分为若干步骤,而且整个数据处理是“单流向”的,即没有反馈或者迭代运算,前一个步骤的输出是下一个步骤的输入,则可以考虑采用流水线设计方法来提高系统的工作频率。

在很多领域的高速电子系统中都运用了流水线处理的方法,如高速通信系统、高速采集系统、高速导航系统、高速搜索系统等等。流水线处理方式之所以能够很大程度上提高数据流的处理速度,是因为复制了处理模块,它是面积换取速度思想的又一种具体体现。

流水线设计的结构示意图如下页图所示。其基本结构为:将适当划分的n 个操作步骤单流向串联起来。流水线操作的最大特点和要求是,数据流在各个步骤的处理从时间上看是连续的,如果将每个操作步骤简化,假设为通过一个D 触发器( 就是用寄存器打一个节拍) ,那么流水线操作,就类似一个移位寄存器组,数据流依次流经D 触发器,完成每个步骤的操作。

流水线技术示意图

整个设计时序的合理安排是流水线设计的一个关键。

流水线技术的基本原理如如图所示,将较大的组合逻辑分解为较小的几块,中间插入触发器,这样就可以提高电路的工作频率了。

流水线设计时序

在FPGA设计中采用流水线设计方法,只需要极少或者根本不需要额外的成本,因为每一个逻辑元件都包括一个触发器,这个触发器或者没有用到,或者是用于存储布线资源。采用流水线有可能将一个复杂操作分解成一些小规模的基本操作,将一些中间值存储在寄存器中,并在下一个时钟周期内继续运算。

问题:

如果前级操作时间恰好等于后级的操作时间,设计最为简单,前级的输出直接汇入后级的输入即可;如果前级操作时间和后级的操作时间不等,该怎么办呢?步说如果系统中有N个模块,每个模块需要的时钟周期都不相同该怎么办呢?

乒乓操作及串并转换

乒乓操作是一个常应用于数据流控制的处理技巧,典型的乒乓操作方法如下页图所示。

输入数据流通过输入数据选择单元将数据流等时分配到两个数据缓冲区,数据缓冲模块可以为任何存储模块,比较常用的存储单元为双口RAM(DPRAM)、单口RAM(SPRAM) FIFO等。

利用乒乓操作完成数据的无缝缓冲与处理,把乒乓操作模块当成一个整体,站在这个模块的两端看数据,输入数据流和输出数据流是连续不断的,没有任何停顿,因此非常适合对数据流进行流水线式处理。所以乒乓操作常常应用于流水线设计中,完成数据的无缝缓冲与处理。

串并转换是FPGA 设计的一个重要技巧,它是高速数据流处理的常用手段,串并转换的实现方法多种多样,根据数据的排序和数量的要求,可以选用寄存器、双口RAM(DPRAM)、单口RAM(SPRAM)、FIFO等实现。

若想数据的缓冲区开得很大,可以通过DPRAM 实现了数据流的串并转换,对于数量比较小的设计可以采用寄存器完成串并转换。如无特殊需求,系统中应该用同步时序设计完成串并之间的转换。

时序及同步设计

数字电路中,时钟是整个电路最重要、最特殊的信号。第一, 系统内大部分器件的动作都是在时钟的跳变沿上进行, 这就要求时钟信号时延差要非常小, 否则就可能造成时序逻辑状态出错.第二, 时钟信号通常是系统中频率最高的信号。第三, 时钟信号通常是负载最重的信时钟信号通常是负载最重的信号,所以要合理分配负载。出于这样的考所以要合理分配负载。出于这样的考虑在FPGA这类可编程器件内部一般都设有数量不等的专门用于系统时钟驱动的全局时钟网络。这类网络的特点是:一、负载时钟网络。能力特别强, 任何一个全局时钟驱动线都可以驱动芯片内部的触发器;二是时延差特别小; 三是时钟信号波形畸变小, 工作可靠性好。

因此在FPGA 设计中最好的时钟方案是: 由专用的全局时钟输入引脚驱动单个主时钟去控制设计项目中的每一个触发器。同步设计时器。同步设计时, 在器件的时钟端在器件的时钟端, 响。对于需要多时钟的时序电路对于需要多时钟的时序电路,一个频率是它们的时钟频率公倍数的高频主时钟。

各个功能模块要使用统一的复位电路。在使用带时钟的触发器、计数器等有复位端的库器件时, 一般应尽量使用有同步复位的器件。注意复位时保证各个器件都能复位, 以避免某些寄存器的初始状态不确定而引起系统工作不可靠。

可靠的门控时钟的的条件:

1.驱动时钟的逻辑必须只包含一个“与”门或一个“或”。如果采用任何附加逻辑在某些工作状态下,会出现竞争产生的毛刺。

2.逻辑门的一个输入作为实际的时钟,而该逻辑门的所有其它输入必须当成地址或控制线,它们遵守相对于时钟的建立和保持时间的约束。

异步时钟改同步时钟

异步信号输入总是无法满足数据的建立保持时间,所以建议大家把所有异步输入都先经过双触发器进行同步化。

我们可以使用带使能端的D触发器,并引入一个高频时钟(频率高于系统中的所有源时钟),便可以达到使系统中所有源时钟同步的效果。如下图:

提高同步系统的运行速度

Tco是触发器时钟到数据输出的延时;Tdelay是组合逻辑的延时;Tsetup是触发器的建立时间。

要想时钟能在第二个触发器再次被稳定的锁入触发器,则时钟周期最小应该为:

T= Tco+Tdelay+Tsetup

时钟频率最快应该为:F= 1/T PLD开发软件也正是通过这个公式来计算系统运行速度Fmax。

考虑Tpd这个参数,Tpd是时钟的延时参数,如果使用PLD的全局时钟型号,Tpd可以为0,如果是普通时钟,则不为0.

T= Tco+Tdelay+Tsetup –Tpd

如果考虑芯片I/O管脚延时对系统速度的影响,那么还需要加一些修正。

由于Tco、Tsetup是由具体的器件和工艺决定的,我们设计电路时只可以改变Tdelay。所以缩短触发器间组合逻辑的延时。是提高同步电路速度的关键。由于一般同步电路都不止一级锁存,而要使电路稳定工作,时钟周期必须满足最大延时要求,缩短最长延时路径,才可提高电路的工作频率。

我们可以将较大的组合逻辑分解为较小的几块,中间插入触发器,如下页图所示,这样可以提高电路的工作频率。这也是“流水线”(pipelining)技术的基本原理。

时钟频率受制于第二个较大的组合逻辑的延时,通过适当的方法平均分配组合逻辑,可以避免在两个触发器之间出现过大的延时,消除速度瓶颈。

时钟偏差

在同步电路里,时钟信号要连接到所有的寄存器,触发器以及锁存器等器件上。这些巨大的负载就像一个大电容加在时钟线上,再加上时钟线本身的分布电容和电阻,这样时钟线就像分布的RC线。

由于RC线的延时是线长的函数,这样就使得连到同一根时钟线上的时钟由于距离时钟源的远近不一而产生不同的延时。因而造成了同一时钟到达各个器件的时间不一致,使得每以时钟为基准器件的动作也不一致,而造成时序上的混乱。这就是同步电路时钟偏差。

要让同步电路可靠地运行,就要对时钟偏差进行控制,以使时钟偏差减小到可用的范围。影响时钟偏差的主要因素有以下几个:

1、用于连接时钟树的连线

2、时钟树的拓扑结构

3、时钟的驱动

4、时钟线的负载

5、时钟的上升及下降时间

在通常的FPGA设计中对时钟偏差的控制主要

有以下几种方法:

1、控制时钟信号尽量走可编程器件的全局时钟网络。在可编程器件中一般都有

专门的时钟驱动器及全局时钟网络,不同种类、型号的可编程器件,它们中的全局时钟网络数量不同,因此要根据不同的设计需要选择含有合适数量全局时钟网络的可编程器件。一般来说,走全局时钟网络的时钟信号到各使能端的延时小,时钟偏差很小,基本可以忽略不计。

2、若设计中时钟信号数量很多,无法让所有的信号都走全局时钟网络,那么可

以通过在设计中加约束的方法,控制不能走全局时钟网络的时钟信号的时钟偏差。

3、异步接口的时序裕度要足够大。局部同步电路之间接口都可以看成是异步接

口,比较典型的是设计中的高低频电路接口、I/O接口,那么接口电路中后一级触发器的建立-保持时间要满足要求,时序裕度要足够大。

4、在系统时钟大于30MHz时,设计难度有所加大,建议采用流水线等设计方

法。

5、要保证电路设计的理论最高工作频率大于电路的实际工作频率。

复位和置位信号处理

在设计时应尽量保证有一全局复位信号,或保证触发器、计数器在使用前已经正确清零状态。

在设计寄存器的清除和置位信号时,应尽量直接从器件的专用引脚驱动。另外,要考虑到有些器件上电时,触发器处于一种不确定的状态,系统设计时应加入全局复位/Reset。

这样主复位引脚就可以给设计中的每一个触发器馈送清除或置位信号,保证系统处于一个确定的初始状态。需要注意的一点是:不要对寄存器的置位和清除端同时施加不同信号产生的控制,因为如果出现两个信号同时有效的意外情况,会使寄存器进入不定状态。

采样

ADC采样基本概念和原理

转换速率和采样时间:MSPS--Million Samples per Second ----转换速率(Conversion Rate)是指完成一次从模拟转换到数字的AD转换所需的时间的倒数。积分型AD的转换时间是毫秒级属低速AD,逐次比较型AD是微秒级属中速AD,全并行/串并行型AD可达到纳秒级。

采样时间则是另外一个概念,是指两次转换的间隔。为了保证转换的正确完成,采样速率(Sample Rate)必须小于或等于转换速率。因此有人习惯上将转换速率在数值上等同于采样速率也是可以接受的。常用单位是ksps和Msps,表示每秒采样千/百万次(kilo / Million Samples per Second)。

采样率和带宽的关系:采样率是数字上的,每秒采样多少个样点。而带宽则是模拟上的,能够测试信号频率的范围。如果一个ADC的采样频率为5G,但是前面搞一个100M的低通滤波器,那采样率就是5G,带宽就是100M了。带宽反映了这个示波器能够测试的频率范围,如果超过这个频率范围,就不准确了。但是有一条最基本的原则:采样频率不得低于信号带宽的2倍。

带宽是输出信号相对输入信号幅度衰减3DB以内的频率范围,对于AD来说,就是测得的值相对输入信号衰减没有超过3DB的频率范围。信号带宽是相当于输入信号来说的,是该芯片对输入信号的要求,就是说输出信号在衰减3DB时,输入信号的频率范围;

带宽与采样率

示波器的带宽(BW)直接表现出它所能测量信号的最小上升时间(Tr),它们之间的关系为:BW=0。35/Tr。示波器上标称的采样率都为实时采样率,采样

软件无线电原理与应用思考题

《软件无线电原理与应用》思考题 第1章 概述 1. 软件无线电的关键思想 答:A/D 、D/A 尽量靠近天线 a) 用软件来完成尽可能多的功能 2. 软件无线电与软件控制的数字无线电的区别 答:软件无线电摆脱了硬件的束缚,在结构通用和稳定的情况下具有多功能,便于改进升级、互联和兼容。而软件控制的数字无线电对硬件是一种依赖关系。 3. 软件无线电的基本结构 答:书上第5页 第2章 软件无线电理论基础 1. 采样频率(fs)、信号中心频率(fo)、处理带宽(B)及信号的最低频率(f L )、最高频率(f H )之间的关系,最 低采样频率满足的条件 答:带通采样解决信号为(f L ~f H )上带限信号时,当f H 远远大于信号带宽B 时,若按奈奎斯特采样定理,其采样频率会很高,而采用带通信号则可以解决这一问题,其采样频率12n 4f 12n )f f (2f 0H L s +=++= ,n 取能满足2B f S ≥的最大正整数,B 2 12n f 0+=。 2. 频谱反折在什么情况下发生,盲采样频率的表达式 答:带通采样的结果是把位于(nB ,(n+1)B )不同频带上的信号都用位于(0,B )上相同的基带信号频谱来表示,在n 为奇数时,其频率对应关系是相对中心频率反折的,即奇数带上的高频分量对应基带上的低频分量,且低频高频对应高频分量。 盲区采样频率的表达式为: S Sm f 12n 22m f ++= m 取0,1,2,3……的盲区,当取n=m+1时,S Sm f )3 2m 11(f +-= 3. 画出抽取与内插的完整框图,所用滤波器带宽的选取,说明信号处理中为什么要采用抽取与内插, 抽取与内插有什么好处 答:抽取内插的框图见24页。其中抽取滤波器带宽D /π,内插滤波器带宽I /π。 图像

软件无线电(个人整理)

1. 软件无线电是什么
无线通信在现代通信中占据着极其重要的位置, 几乎任何领域都使用无线通信, 包括有 商业、气象、金融、军事、工业、民用等。我们可从通信系统、调制方式、多址方式等几方 面可看到无线通信系统种类的繁多。 类 别 通信系统 调制方式 多址方式 种 类
卫星通信系统、蜂窝移动通信系统、无线寻呼系统、短波通信系统、 微波通信系统等 AM、FM、LSB、USB、ISB、FSK、PSK、MSK、GMSK、QAM 等 时分多址(TDMA) 、频分多址( FDMA)和码分多址(CDMA)等
各种通信系统由于自身的特点而适用于各种特定的场合,例如: 短波电台适合远距离,其所需的发射功率不大,传输的“中继系统” —电离层不会被 摧毁;卫星通信能传播高质量的信息,所能提供的频带很宽 微波通信抗干扰能力强,适合大量的数据传输,但只能在点与点之间传输,传输距离 又有一定的限制 由于无线通信的设备简单、便于携带、易于操作、架设方便等特点,在军事和民用通信领域 中都是不可缺的重要通信手段。 然而, 电台往往是根据某种特定的用途而设计的, 功能单一, 有些电台的基本结构相似,而信号特征差异很大。比如,工作的频段不同,调制方式不同, 波形结构不同,通信协议不同,数字信息的编码方式、加密方式不同等等。电台之间的这些 差异极大地限制了不同电台之间的互通互连。 经过几十年的发展, 无线通信已有很大的发展, 通信系统由模拟体制不断向数字化体制过渡, 因此是否可能在数字化体制础上一个电台能满足多调制方式和多址方式, 从而根椐需要构成 多种通信系统呢。 我们先看一下一个数字蜂窝网接收站, 显示在图 1 中。 (注意: 为了说明软件无线电的概念, 这里给出了无线电的接收装置部分) 。
图 1:窄带无线接收装置

软件无线电技术

第四代移动通信技术之软件无线电技术 【摘要】软件无线电是目前无线通信领域在固定至移动、模拟至数字之后的最新革命,其正朝着产业化、全球化的方向发展,将在4G系统中得到广泛应用。本文主要研究软件无线电技术对通信传输的改善以及4G系统中软件无线技术的应用特点等。 一、引言 软件无线电提供了一条满足未来个人通信需要的思路。软件无线电突破了传统的无线电台以功能单一、可扩展性差的硬件为核心的设计局限性,强调以开放性的最简硬件为通用平台,尽可能地用可升级、可重配置不同的应用软件来实现各种无线电功能的设计新思路。其中心思想是:构造一个具有开放性、标准化、模块化的通用硬件平台,将各种功能,如工作频段、调制解调类型、数据格式、加密模式、通信协议等用软件来完成,并使宽带A/D和D/A转换器尽可能靠近天线,以研制出具有高度灵活性、开放性的新一代无线通信系统。 图一、软件无线电原理框图 1 二、简介 软件无线电(SWR)技术是近年来提出的一种实现无线通信的新的体系结构,它的基本概念是把硬件作为无线通信的基本平台,而把尽可能多的无线通信及个人通信功能用软件实现。 1、WLAN与蓝牙融入广域网 近年来各国都在积极进行4G的技术研究,从欧盟的WINNER项目到我国的“FuTURE计划”都是直接面向4G的研究。 日本对4G技术的研究在全球范围内一直处于领先地位,早在2004年,运营商NTTdocomo就进行了1Gbit/s传输速率的试验。目前还没有4G的确切定义,但比较认同的解释是:4G采用全数字技术,支持分组交换,将WLAN、蓝牙技术等局域网技术融入广域网中,具有非对称的和超过100Mbit/s的数据传输能力,同时,因为采用高度分散的IP网络结构,使得终端具有智能和可扩展性。

软件无线电发展现状

<<移动通信>.>>2002年第 4期 软件无线电发展现状 罗序梅信息产业部电子七所 1 前言 — 软件无线电是实现无线通信新体系结构的一种技术,在经过近几年的发展之后,其重要性和可 行性正逐步被越来越多的人所认识和接受。软件无线电技术的重要价值体现在:硬件只是作为 无线通信的基本平台,而许多的通信功能则是通过软件来实现的,这就打破了长期以来设备的 通信功能实现仅仅依赖于硬件的发展格局。所以有人称,软件无线电技术的出现是通信领域继 固定到移动,模拟到数字之后的第三次革命。本文主要介绍全球软件无线电技术研究动态、对 实现软件无线电台至关重要的器件技术的发展以及软件无线电台商用前景。 2 全球软件无线电技术研究动态 软件无线电技术具有结构的开放性、软件的可编程性、硬件的可重构性以及功能和频段的… 多样性等特点,无论在军事还是在商用通信中都有着巨大的应用潜力。也正是因为这些独特的 优势,引发了全球对软件无线电技术的关注和研发热潮。除美国在 90年代初开始实施易通话计 划并成功地研制出多功能多频段电台外,欧洲、日本、中国等全球其它地区也纷纷开展了各自 的软件无线电技术项目。 欧洲委员会已将软件无线电技术列为重要的研发项目,大量与软件无线电技术相关的研究项目正在其 ACTS计划中进行。受潜在的商业利益所驱动,其研究重点集中在第三代标准上, 这包括 FIRST(灵活的综合无线电系统和技术)、FRAMES(未来无线电宽频段多址系统)和 · SORT等项目。前两个项目利用软件无线电台样机研究开发下一代无线接口。其中

FIRST项目 主要是评估实现软件重构空中接口的问题。目前最公开的工作集中在 RF结构最佳划分方法及 数字处理的实现上。 SORT主要是开展有关第三代系统( UMTS)在地面和卫星接入方面的硬件 重构问题的研究,演示灵活而有效的软件可编程电台,实施该项目的目标是:

信道化技术在软件无线电接收机中的应用

信道化技术在软件无线电接收机中的应用 姚 澄!朱灿焰!杨会保 " 苏州大学电子信息学院江苏苏州 #$%&#$’ 摘 要(软件无线电是目前通信领域研究的热点!其关键技术之一的数字中频技术则是多速率信号处理理论的典型应用) 介绍了一种基于多相滤波的数字信道化技术在软件无线电接收机中的应用!利用离散傅里叶变换"*+,’的成熟理论和多相滤波的灵活处理!在接收机的数字中频段提出了一种高效的处理结构!对其原理-性能和特点进行了深入地探讨和研究!较好地解决了当前无线通信中硬件速度和高速数据流不匹配的问题)计算机模拟结果证明了处理结构的可行性和有效性) 关键词(软件无线电.信道化.多相滤波器组.离散傅里叶变换中图分类号(,/0 $$文献标识码(1 文章编号($&&23435" #&&%’&4&$4&367789:;<9=>=?@A ;>>B 89C B DE B :A >=8=F G 9>B DL ;D 9= M N O P Q R S T !U V W P X S Y X S !M N /Z V [\]X ^ "_‘Q ^^a ^b c a R ‘d e ^S \‘f S b ^e g X d \^S !_^^‘Q ^h W S \i R e j \d Y !_[k Q ^[!#$%&#$!P Q \S X ’l m n o p q r o (,Q R_^b d h X e R*R b \S R s t X s \^"_*t ’Q X j]R ‘X g Rd Q Rb ^‘[j^be R j R X e ‘Q \S ‘^g g [S \‘X d \^S j u *\T \d X af S d R e g R s \X d R +e R v [R S ‘Y "f +’X j ^S R^b \d j w R Yd R ‘Q S ^a ^T \R j !\j Xd Y x \‘X a X x x a \‘X d \^S^b g [a d \e X d Rj \T S X a x e ^‘R j j \S Td Q R ^e Y u ,Q RX x x a \‘X d \^S^b X s \T \d X a ‘Q X S S R a \k R sd R ‘Q S \v [Rb ^e_*t e R ‘R \i R e j\j\S d e ^s [‘R s\Sd Q \jx X x R e u 1X j R s^Sd Q Rg X d [e Rd Q R ^e Y^bd Q R*\j ‘e R d R+^[e \R e ,e X S j b ^e g "*+,’X S s d Q R b a R y \]\a \d Y ^b d Q R x ^a Y x Q X j R b \a d R e ]X S ws R ‘^g x ^j \d \^S !X SR b b \‘\R S d x e ^‘R j j \S T X e ‘Q \d R ‘d [e R \j x e R j R S d R s \Sd Q R s \T \d X a f +x X e d !\d j x e \S ‘\x a R !x R e b ^e g X S ‘R X S s‘Q X e X ‘d R e \j d \‘X e R s R R x a Ys \j ‘[j j R sX S sj d [s \R s u ,Q R g R d Q ^sT \i R j X]R d d R e j ^a [d \^S^b d Q Rg \j g X d ‘Q]R d h R R Sd Q Ra ^h R e Q X e s h X e Rj x R R sX S sQ \T Qs X d Xe X d R^b d ^s X Y z jh X e R a R j j‘^g g [S \‘X d \^S j u +\S X a a Yj \g [a X d \^Se R j [a d j j Q ^h d Q R R b b \‘\R S ‘Y^b d Q \j x e ^x ^j R sX e ‘Q \d R ‘d [e R u {|}~!p "n (_^b d h X e R *R b \S R st X s \^"_*t ’.‘Q X S S R a \k \S T .x a ^Y x Q X j R b \a d R e ]X S w .*\j ‘e R d R +^[e \R e ,e X S j b ^e g "*+,’ 收稿日期(#&&2$#$2#引 言 软件无线电是近些年来崭露头角的新技术!他代表包括无线通信在内的几乎所有的无线电电子信息系统的发展趋势)为适应其发展!有必要对基于滤波器组的信道化方法进行研究) 理想的软件无线电结构$ $% 在射频直接采样数字化!其核心思想就是将N &*!*&N 变换器尽量靠近天线!在对信号充分数字化的基础上依靠软件来实现无线电的各项功能)但是现阶段!由于受微电子技术水平的限制!直接对射频"t + ’进行采样还很难实现!成本上亦不合算)所以!在目前的软件无线电研究中!大部分都是首先将射频信号转换到中频!然后在中频对模拟信号进行数字化)数字中频软件无线电加上少量的高频模拟前端正逐渐成为理想 软件无线电的一种经济实用的选择$#%)中频软件无线电接 收机的结构如图$所示) 对于单一信道而言!使用宽带N &*!*_’和通用P ’W 的软件无线电方法比传统的使用硬件集成的技术要昂贵的多!而目前多通道接收机"数字下变频器’已有上市!如 f S d R e j \a 公司"原V X e e \j 公司的半导体部分’的V _’ %&#$(!Z e X Y P Q \x 公司的Z P 2&$(!N S X a ^T *R i \‘R j 公司的N *((#2和_^b d P R a a 等)但这些接收机的主要问题是!必须事先确知在哪个信道上有信号!或者用一个全景接收机对整个频 段进行搜索和监视以确定信号的位置$3%)然而!如果搜索 速度不够快! 就会产生漏警现象以至于无法进行全概率的信号截获)本文所讨论的基于滤波器组的信道化接收机就是能够完成全概率信号截获的接收机) 图$中频宽带接收机实现框图 )信道化接收机 信道化接收机瞬时频带宽-动态范围大!能实现超宽带侦察)传统的技术是采用模拟电路来实现信道化!即(用模拟滤波器组把侦察频率范围分割为许多邻接的信道!如图#所示) 显然!当瞬时频带很宽时!需要非常多的滤波器!接收机将变得非常庞大)而在软件无线电信道化技术中!则充分利用数字信号系统精确-灵活-造价低-速度快的优 4 $*现代电子技术+#&&%年第4期总第$0,期-通信与信息技术 . 万方数据

软件无线电技术的发展应用探究

软件无线电技术的发展应用探究 软件无线技术相对于传统的“纯硬件电路”具有非常大的优越性,以硬件为基础,软件在可以在此之上扩展更多的通信功能,使得设备的通信功能不再硬件锁限制,并且可以大大简化设备的硬件复杂程度,提升其可靠性、维护性,耐用性,并且由于软件的可升级性以及更加优良的兼容性,因此可以大大降低开发、生产、升级换代和维护成本。软件无线电技术是通信领域的第三次革命,前两次模拟通信和数字通信。目前新技术的发展重点基本都已开始转移软件之上。文章就软件无线电技术的发展和应用进行一些详细的探讨。 标签:软件无线电;软件无线电发展;软件无线电应用 1 软件无线电各个系统的作用 1.1 软件无线电技术与传统无线电技术的区别 软件无线电与软件控制无线电的区别在于软件无线电是开放并且标准化的,因此研究更加容易也更加灵活,设备具有的功能不再主要依赖系统的构架和硬件,转而开始依赖软件环境,通过改变软件来改变功能,使得系统、功能的升级或是不同系统间的兼容变得更加简单,升级换代所需要的时间大大缩短。而数字无线电主要依赖于硬件和系统结构的发展,使得环境更加封闭,不利于推广交流,一旦出现问题,需要花费相当多的人力、物力以及时间。 1.2 软件无线电技术硬件平台解析 软件无线电是一个标准化、开放式的平台,以硬件作为基础,将编写好的指令预先录入,用以操纵硬件进而实现尽可能多的无线通信功能,可以通过改变软件的方式改变软件无线电所具有的功能,并可因此减少硬件模块的数量和复杂程度,所具备的灵活性、集中性、维护性无可比拟。一个典型的软件无线电需要以下的硬件系统:射频、中频、基带、信源、信令,软件部分则为数字信号处理器(DSP),DSP通过录入程序,可以对带宽、频率、调制模式、信源解码等进行控制,因此DSP处理性能的强弱直接影响通信功能的数量和质量。通过录入程序,DSP控制各个系统,实现无线电软件具体化。 1.2.1 天线 天线是保证信号的基础,理论上天线最好应该能覆盖全部的通信频段,但在实际应用中,并不能做到覆盖如此多的频段,更多的时候需要能保证完美适配软件所需的、线性性能较好的频段,使用组合式多频段天线,通过测试自动寻找干扰较小,流量宽松的频段,因此就有多频段天线和宽带天线,其二者都可以为软件无线电技术提供信号的保障,而区别主要在于多频段可在分离的不同频段上工作,而宽带则意味着是连续的宽频。而调频、信号接收、算法优化仍然是天线在无线电技术中的关键。

FPGA在软件无线电中的应用

Altera中文资料 FPGA在软件无线电中的应用 介绍 软件无线电(SDR)是具有可重配置硬件平台的无线设备,可以跨多种通信标准。它们因为更低的成本、更大的灵活性和更高的性能,迅速称为军事、公共安全和商用无线领域的事实标准。SDR成为商用流行的主要原因之一是它能够对多种波形进行基带处理和数字中频(IF)处理。IF处理将数字信号处理的领域从基带扩展到RF。支持基带和中频处理的能力增加了系统灵活性,同时减小了制造成本。 基带处理 无线标准不断地发展,通过先进的基带处理技术如自适应调制编码、空时编码(STC)、波束赋形和多入多出(MIMO)天线技术,支持更高的数据速率。基带信号处理器件需要巨大的处理带宽,以支持这些技术计算量的算法。例如,美国军事联合战术无线系统(JTRS)定义了军事无线中20多种不同的无线波形。一些更复杂的波形所需的计算能力在标准处理器上是每秒数百万条指令(MIPS),或者如果在FPGA实现是数千个逻辑单元。 协处理器特性 SDR基带处理通常需要处理器和FPGA。在这类应用中,处理器处理系统控制和配置功能,而FPGA实现大计算量的信号处理数据通道和控制,让系统延迟最小。当需要从一种标准切换至另一种标准时,处理器能够动态地在软件的主要部分间切换,而FPGA 能够根据需要完全重新配置,实现特定标准的数据通道。 FPGA可以作为协处理器同DSP和通用处理相连,这样具有更高的系统性能和更低的系统成本。自由地选择在哪实现基带处理算法为实现SDR算法提供了另一种方式的灵活性。 基带部件也需要足够灵活让所需的SDR功能支持在同一种标准增强版本之间的移植,

并能够支持完全不同的标准。可编程逻辑结合软核处理器和IP,具有了提供在现场远程升级的能力。图1 是一个框图,其中FPGA能够通过IP功能如Turbo编码器、Reed-Solomon编码器、符号交织器、符号映射器和IFFT,很容易地重配置支持WCDMA/HSPDA或802.16a标准的基带发送功能。 图1. 两种无线信号的SDR基带数据通道重配置例子 数字IF处理 数字频率变化具有比传统模拟无线处理方式更高的性能。FPGA提供了一种高度灵活和集成的平台,在这之上以合理的功率实现大计算量的数字IF功能,这在便携系统中是一个关键的因素。能够在FPGA实现的IF功能包括数字上变频器(DUC)和下变频器(DDC),以及数字预畸变(DPD)和波峰系数削减(CFR),帮助降低功放的成本和功率(见图2)

软件无线电的应用

软件无线电的应用 软件无线电的应用 摘要:软件无线电技术正日益广泛地应用于现代通信的各个领域。 关键词:软件无线电;数字信号处理;调制解调;数字广播;世界数字广播 软件无线电是随着计算机技术、高速数字处理技术的迅速发展而发展起来的,其基本思想就是将宽带A/D/A变换器尽可能地靠近天线,将电台的各种功能尽量在一个开放性、模块化的平台上由软件来确定和实现。该平台的调制方式、码速率、载波频率、指令数据格式、调制码型等系统工作参数具有完全的可编程性 1 用软件无线电技术实现卫星控制平台 传统的卫星测控平台存在着性能不完善,调制方式、副载波、码速率组态不灵活,体积偏大等问题。研制和开发通用化、综合化、智能化的测控平台,通过注入不同的软件,实现对调制载频、调制方式、传输码速率等参数的改变,应用于各种轨道卫星平台的遥测遥控任务。 软件无线电技术正日益广泛地应用于现代通信的各个领域。随着A/D/A器件与DSP处理器的迅速发展,使得软件无线电技术广泛地应用于陆上移动通信、卫星移动通信与全球定位系统等。 用软件无线电技术实现卫星控制平台包括软件无线电通用平台 的DSP技术和DSP实现信号调制和解调。其中软件无线电通用平台的DSP技术又包括 TMS320C6701 DSP芯片,DSP技术在软件平台中的应用,调制器与解调器。DSP实现信号调制和解调又包括信号调制,信号解调。 软件无线电通用测控平台是卫星测控平台发展的方向,可以很好地解决原来平台开发成本高、周期长、通用性差的问题。以新一代DSP芯片TMS320C6000作为软件无线电平台的核心,可以很好地满足需要,且有较大的冗余度,利用升级。

软件无线电(software radio)

概要 软件无线电的基本思想是以一个通用、标准、模块化的硬件平台为依托,通过软件编程来实现无线电台的各种功能,从基于硬件、面向用途的电台设计方法中解放出来。功能的软件化实现势必要求减少功能单一、灵活性差的硬件电路,尤其是减少模拟环节,把数字化处理(A/D和D/A变换)尽量靠近天线。软件无线电强调体系结构的开放性和全面可编程性,通过软件更新改变硬件配置结构,实现新的功能。软件无线电采用标准的、高性能的开放式总线结构,以利于硬件模块的不断升级和扩展。 软件无线电(software radio)在一个开放的公共硬件平台上利用不同可编程的软件方法实现所需要的无线电系统。简称SWR。理想的软件无线电应当是一种全部可软件编程的无线电,并以无线电平台具有最大的灵活性为特征。全部可编程包括可编程射频(RF)波段、信道接入方式和信道调制。 一般说来,SWR就是宽带模数及数模变换器(A/D及D/A)、大量专用/通用处理器、数字信号处理器(Digital Signal Proicesser,DSP)构成尽可能靠近射频天线的一个硬件平台。在硬件平台上尽量利用软件技术来实现无线电的各种功能模块并将功能模块按需要组合成无线电系统。例如:利用宽带模数变换器(Analog Digital Converter,ADC),通过可编程数字滤波器对信道进行分离;利用数字信号处理技术在数字信号处理器(DSP)上通过软件编程实现频段(如短波、超短波等)的选择,完成信息的抽样、量化、编码/解码、运算处理和变换,实现不同的信道调制方式及选择(如调幅、调频、单边带、跳频和扩频等),实现不同的保密结构、网络协议和控制终端功能等。 在目前的条件下可实现的软件无线电,称做软件定义的无线电(Software Defin ed Radio,SDR)。SDR被认为仅具有中频可编程数字接入能力。 发展历史无线电的技术演化过程是:由模拟电路发展到数字电路;由分立器件发展到集成器件;由小规模集成到超大规模集成器件;由固定集成器件到可编程器件;由单模式、单波段、单功能发展到多模式、多波段、多功能;由各自独立的专用硬件的实现发展到利用通用的硬件平台和个性的编程软件的实现。 20世纪70~80年代,无线电由模拟向数字全面发展,从无编程向可编程发展,由少可编程向中等可编程发展,出现了可编程数字无线电(PDR)。由于无线电系统,特别是移动通信系统的领域的扩大和技术复杂度的不断提高,投入的成本越来越大,硬件系统也越来越庞大。为了克服技术复杂度带来的问题和满足应用多样性的需求,特别是军事通信对宽带技术的需求,提出在通用硬件基础上利用不同软件编程的方法。20世纪80年代初开始的软件无线电的革命,将把无线电的功能和业务从硬件的束缚中解放出来。 1992年5月在美国通信系统会议上,Jeseph Mitola(约瑟夫·米托拉)首次提出了“软件无线电”(Software Radio,SWR)的概念。1995年IEEE通信杂志(Comm unication Magazine)出版了软件无线电专集。当时,涉及软件无线电的计划有军用的SPEAKEASY(易通话),以及为第三代移动通信(3G)开发基于软件的空中接口计划,即灵活可互操作无线电系统与技术(FIRST)。

软件无线电的现状和发展趋势

□潘子欣刘毅 一、引言 移动通信在过去几十年中获得了飞速发展,成为现代通信中的一个亮点。同时由于移动通信的迅速发展和高收益,带来了激烈的竞争,从而造就了移动通信技术和系统的多样性,而各技术标准和系统之间差别很大又不能互相兼容。特别是新业务的巨大吸引力又给用户和移动业务提供商造成了很大的压力,迫使他们不断更新设备,可是这通常要造成设备和投资的浪费。问题的关键在于目前的绝大多数移动通信设备是完全基于专用硬件设计的,给移动通信系统的兼容和并联,以及快速、灵活的升级带来了很大的约束。此外通信设备制造商在研制新产品时,由于种种因素的制约,其设置的产品可能会存在缺陷,以致在产品售出后不得不重新召回,增加了产品的制造成本和设计周期。而软件无线电确能很好解决这些问题。 二、软件无线电的概念及其特点 软件无线电(SoftwareDefinedRadio,SDR)是二十世纪90年代初提出的通信新技术,它的基本思想是将标准化、模块化的硬件功能单元,通过高速总线或高速网络等连接形成一个通用的数字式硬件平台,再通过软件加载的方式来实现各种类型无线通信系统的开放式体系结构,用软件方式实现各种通信功能。并且能通过对软件的重新编程来实现系统的升级更新和适应不同的通信标准和协议。 由于软件无线电技术具有通用性广、可移植性好、适应性强等优点,在军用电台方面得到迅速的发展和应用。近些年,随着第三代移动通信(3G)系统的发展,软件无线电在民用领域也开始崭露头角。人们期待这种新技术能兼容现在所有的3G标准,从而制成通用的移动通信设备。软件无线电已经成为无线通信领域继固定到移动、模拟到数字之后的第三次革命。 软件无线电具有灵活性和集中性两大优点。 灵活性即可以任意地转换信道接入方式,改变调制方式或接收不同系统的信号等。当前蜂窝通信标准不断地发展变化,这种灵活性对移动通信系统来说就显得尤为重要。例如:基站可以通过承载不同的软件来适应不同的标准,而不用对硬件平台进行改动;基站间可由软件算法协调动态地分配信道与容量以优化性能;移动台可以自动检测接收到的信号的工作方式,以接入不同的网络(GSM、DAMPS等)。 集中性即多个信道享有共同的射频前端与宽带模/数、数/模转换器,以获取每一信道相对廉价的信号处理性能。尽管软件无线电要比传统的接收机贵很多,但每一信道的费用则低得多。在移动通信系统中,一般一个基站能容纳20个甚至更多的无线接收器,这样软件无线电技术就显得很吸引人。 软件无线电硬件采用模块化结构宽带模/数和数/模转换及高速DSP,建立公共硬件平台,支持并行、流水线及异种多处理机。软件采用基于OSI参考模型的分层软件体系,支持开放式的模块化设计。灵活应用软件无线电的基本硬软件模块,可使软件无线电设备对传播条件具有多种自适应能力,多种抗干扰能力,灵活可变的多址方式、用户需要的多种业务及多种组网与接口能力等。 随着计算机硬件的迅速发展,软件无线电技术日益广泛地应用于陆上移动通信、卫星移动通信与全球定位系统等。对于不同的新标准(GSM、DCS1800、IS-54、IS-95等),软件无线电提供了灵活的解决方案—— —在通用的硬件平台上由可变换的应用软件模块提供对不同新标准的兼容性。由于在移动通信领域中,用户对新业务的要求不断变化,空中接口标准不断发展,传统的数字系统会很快被淘汰,而软件无线电这种由软件的变化、升级实现增强业务功能的能力使得由软件无线电技术构筑的系统的生命周期要长的多,很有竞争力。 三、软件无线电的发展历史 为了解决军用无线电台多频段、多制式的互通问题,1992年5月,MITRE公司的JeoMitola在美国电信系统会议上首次提出软件无线电的概念。其基本思想是:构造一个标准化、模块化、开放性的通用硬件平台,将通信中的各种功能,如设定数据格式、确定载波频率、信道编码、信道调制、加密、通信协议等用软件来完成。在这一构想中,宽带模/数转换器尽可能地靠近射频天线,最大限度地通过数字的方式来实现电台的各种功能。这样的软件无线电台不仅可以与普通电台进行通信,还能在两种不同制式的电台系统间充当“转接器”的作用,使两者能够互通互连。 在软件无线电概念产生不久后,美军提出了“易通话”(SPEAKEASY)科研计划,其主要任务是研制多频段多模式无线电台(Multi—BandMulti—ModeRadio,MBMMR)。这种电台的工作频率为2~2000MHz,能同时处理4种不同的信号波形,兼容美军当时的15种电台,并适用于不同频段和不同调制方式下的通信互联。 1995年5月,IEEE《通信杂志》出版了软件无线电专刊,全 软件无线电的现状和发展趋势 科学管理商界 33 广东科技2008.03.总第183期

软件无线电.期末考试

1.什么是软件无线电?软件无线电的特点是什么? 定义: 软件无线电是多频带无线电,它具有宽带的天线、射频转换、模/数转和数/模变换,能支持多个空中接口和协议,在理想状态下,所有方面(包括物理空中接口)都可以通过软件定义。 软件无线提供了一种建立多模式、多频段、多功能无线设备的有效并且相当经济的解决方案,可以通过软件升级实现功能提高 特点: 多频带/多模式/多功能(M3)工作:多频带是指软件无线电可以工作在很宽的频带范围内; 多模式是指软件无线电能够使用多种类型的空中接口,其调制方式、编码、帧结构、压缩算法、协议等可以选择;多功能是指采用相同的无线电设备用于不同的应用中。 具有可重配、重编程能力:可重配置是指系统的操作软件(包括程序、参数以及处理环境的软件方面)或硬件(处理环境的硬件方面)的改变。软件无线电采用多个软件模块在相同的系统上可实现不同的标准,只需要选择不同的模块运行就可实现系统的动态配置。所需要的模块可以通过空中接口或人工下载获得并升级。 功能的灵活性:软件无线电的功能由软件决定的,软件模块可以通过空中接口或人工下载的方式获得,以增加或改变其无线电功能,因此其功能的使用和配置非常方便、灵活。 结构的开放性:软件无线电的结构分为硬件和软件两大部分。这两大部分都具有模块化和标准化的特点,是一种开放式的体系结构,使得研制、生产和使用各环节可以共享已有成果,共同推进软件无线电技术的发展。 2.无线电技术经历了或正在经历哪几个阶段?各有什么特征? 第0级:数字硬件无线电。系统不能做任何修改,系统操作由开关、拨号盘和按钮等来完成。 第1级:软件控制无线电。系统通过软件实现控制功能,但是在不改变硬件的条件下,软件控制无线电设备是不能改变像频带或调制方式这样的特征参量的。 第2级:软件定义无线电。系统使用软件对调制、宽/窄带、安全、波形产生和检测等方面的具体应用技术和参数进行控制,不需要对硬件做任何修改,但通常收到频带的约束,依然存在模拟部分,比如还有射频或中频电路。尽管前端的带宽是个限制因素,但由于SDR 能够提供宽带和窄带两种操作中的多种调制技术,因为利用软件可以控制相当宽的频带范围。SDR能够存储大量的波形或空间接口,并可以通过软件下载来添加新的内容。 第3级:(理想的)软件无线电。系统完全可以编程,在接收端或发射端无需任何下变频或上变频转换,将天线前段的输入/输出直接接入ADC/DAC,消除了大部分模拟部件,从而降低了失真和噪声,但仍然受到一定的频率约束。 第4级:终极软件无线电。这种软件无线电没有外置天线、运行频率或带宽的限制,完全可编程,同时支持广泛的频率和功能,能够快速实现空中接口的检测和转换。 3.为什么软件无线电一定要采用“硬件通用化”的设计准则?在软件无线电中是如何 体现“硬件通用化”这一设计思路的? 体系结构:为了让软件和硬件下的用户独立,是系统功能软件化的前提。 设备生产商:满足设计指标,使生产专业化、批量化,提高生茶效率,降低生产成本。 运营商:降低维护成本,维护难度,建设成本。 硬件开发商:继承性,重用性更好。从而减少重复劳动提高研发效率 消费者:减少重复投资 4.你是如何理解软件无线电“功能软件化”这一本质特征的?为什么软件无线电的功 能可以采用软件来实现?

软件无线电技术简介及特点应用

软件无线电技术简介及特点应用 发表时间:2019-09-10T10:31:29.547Z 来源:《科学与技术》2019年第08期作者:刘建新[导读] 软件无线电技术的出现是通信领域继摸拟通信到数字通信,固定通信到移动通信之后第三次革命。 海南三亚92823部队 软件无线电技术,顾名思义是用现代化软件来操纵、控制传统的"纯硬件电路"的无线通信。软件无线电技术的重要价值在于:传统的硬件无线电通信设备只是作为无线通信的基本平台,而许多的通信功能则是由软件来实现,打破了有史以来设备的通信功能的实现仅仅依赖于硬件发展的格局。软件无线电技术的出现是通信领域继摸拟通信到数字通信,固定通信到移动通信之后第三次革命。 1.起源 软件无线电最初起源于军事通信。军用电台一般是根据某种特定用途设计的,功能单一。虽然有些电台基本结构相似,但其信号特点差异很大,例如工作频段、调制方式、波形结构、通信协议、编码方式或加密方式不同。这些差异极大地限制了不同电台之间的互通性,给协同作战带来困难。同样,民用通信也存在互通性问题,如现有移动通信系统的制式、频率各不相同,不能互通和兼容,给人们从事跨国经商、旅游等活动带来极大不便。为解决无线通信的互通性问题,各国军方进行了积极探索。完整的软件无线电 (Software Definition Radio)概念和结构体系是由美国的Joe.Mitola首次于1992年5月明确提出的。其基本思想是 :将宽带A/D 变换尽可能地靠近射频天线 ,即尽可能早地将接收到的模拟信号数字化 ,最大程度地通过软件来实现电台的各种功能。通过运行不同的算法 ,软件无线电可以实时地配置信号波形 ,使其能够提供各种语音编码、信道调制、载波频率、加密算法等无线电通信业务。软件无线电台不仅可与现有的其它电台进行通信 ,还能在两种不同的电台系统间充当“无线电网关”的作用 ,使两者能够互通互连。 软件无线电充分利用嵌入通信设备里的单片微机和专用芯片的可编程能力 ,提供一种通用的无线电台硬件平台 ,这样既能保持无线电台硬件结构的简单化 ,又能解决由于拥有电台类型、性能不同带来的无线电联系的困难。 2.软件无线电台的功能结构 图1给出了典型的软件无线电系统的结构简图 ,包括天线、多频段射频变换器、含有A/D 和D/A变换器的芯片以及片上通用处理器和存储器等部件 ,可以有效地实现无线电台功能及其所需的接口功能。 其关键思想以及与传统结构的主要区别在于 : (1)将A/D 和D/A向RF端靠近 ,由基带到中频对整个系统频带进行采样。 (2)用高速DSP/CPU代替传统的专用数字电路与低速DSP/CPU做A/D 后的一系列处理。A/D 和D/A移向RF端只为软件无线电的实现提供了必不可少的条件 ,而真正关键的步骤是采用通用的可编程能力强的器件 (DSP和CPU等 )代替专用的数字电路 ,由此带来的一系列好处才是软件无线电的真正目的所在。 典型的软件无线电台的工作模块主要包括实时信道处理、环境管理以及在线和离线的软件工具三部分。 1)实时信道处理 实时信道处理包括天线、射频变换、A/D 和D/A变换器、中频处理、基带与比特流处理及信源编码。其中射频变换包括输出功率的产生、前置放大、射频信号变换为标准中频或由标准中频变换为射频信号 ,以适应宽带A/D和D/A变换。中频处理部分变换调制基带和中频之间的发射和接收信号。比特流部分数字复用由多个用户产生的信源编码比特流 ,而且相反的使它们成帧或多路分解。还提供信令、控制和操作、管理和维护功能。实时信道处理部分最合适的结构是多指令多数据 (MIMD)多处理器的结构 ,即将多处理器组成一个流水线 ,来实现模块分配给内部连接在一起的各个处理器的不同的功能序列。 2)环境管理 在准实时环境管理模块中持续地使用频率、时间和空间特征来表征无线电环境 ,这些特征包括信道识别和估计其它参数。环境管理模块使用操作的块结构很容易用一台MIMD并行处理器来实现。这种高度的并行环境管理模块和流水线工作方式的实时信道处理模块之间的接口必须使环境管理的参数和信道处理模块同步。 3)在线和离线的软件工具

软件无线电技术简介(精)

软件无线电技术简介 软件无线电技术,顾名思义是用现代化软件来操纵、控制传统的“纯硬件电路”的无线通信。软件无线电技术的重要价值在于:传统的硬件无线电通信设备只是作为无线通信的基本平台,而许多的通信功能则是由软件来实现,打破了有史以来设备的通信功能的实.. 软件无线电技术,顾名思义是用现代化软件来操纵、控制传统的“纯硬件电路”的无线通信。软件无线电技术的重要价值在于:传统的硬件无线电通信设备只是作为无线通信的基本平台,而许多的通信功能则是由软件来实现,打破了有史以来设备的通信功能的实现仅仅依赖于硬件发展的格局。软件无线电技术的出现是通信领域继固定通信到移动通信,摸拟通信到数字通信之后第三次革命, 软件无线电的基本思想就是将宽带模数变换器(A/D及数模变换器(D/A尽可能 地靠近射频天线,建立一个具有“A/D-DSP-D/A”模型的通用的、开放的硬件平台,在这个硬件平台上尽量利用软件技术来实现电台的各种功能模块。如使用宽带ADC通过可编程数字滤波器对信道进行分离;使用数字信号处理器(DSP技术,通过软件编程来实现各种通信频段的选择,如HF、VHF、UHF和SHF等;通过软件编程来完成传送信息抽样、量化、编码/解码、运算处理和变换,以实现射频电台的收发功能;通过软件编程实现不同的信道调制方式的选择,如调幅、调频、单边带、数据、跳频和扩频等;通过软件编程实现不同的保密结构、网络协议和控制终端功能等。软件无线电技术是软件化、计算密集型的操作形式。 DSP技术是软件无线电手机的基础。目前尽管低功耗DSP、超强功能DSP发展迅速,但DSP在速度、功耗上的现状仍然是制约软件无线电发展的关键。DSP的另一研究内容就是软件,软件是软件无线电技术的核心。在目前DSP不能满足软件无线电设计要求的情况下,开发DSP的数字信号处理软件应是软件无线电技术的主攻方向。这其中包括各种FFT算法,调制解调、信源编码、信号编码等各种通信软件,也包括方式控制、信号控制和数据交换软件。

4G中软件无线电技术的应用

4G中软件无线电技术的应用 日期:2007年6月18日作者:查看:[大字体中字体小字体] ? ? 作者:岳斌 摘要:本文简要介绍了软件无线电技术及其发展概况,并着重列举了其在当前通信领域,以及在未来第四代移动通信(4G)中的应用。 关键词:移动通信4G软件无线电技术DSP 智能天线 一、引言 以现代通信理论为基础,以数字信号处理为核心,以微电子技术为支持的软件无线电(SoftwareRadio)或者称软件可定义的无线电(Software-DefinedRadio)提供了一条满足未来个人通信需要的思路。 软件无线电突破了传统的无线电台以功能单一、可扩展性差的硬件为核心的设计局限性,强调以开放性的最简硬件为通用平台,尽可能地用可升级、可重配置不同的应用软件来实现各种无线电功能的设计新思路。其中心思想是:构造一个具有开放性、标准化、模块化的通用硬件平台,将各种功能,如工作频段、调制解调类型、数据格式、加密模式、通信协议等用软件来完成,并使宽带A/D和D/A转换器尽可能靠近天线,以研制出具有高度灵活性、开放性的新一代无线通信系统。 二、软件无线电关键技术 一个理想的软件无线电的组成结构如图1所示。软件无线电主要由天线、射频前端、宽带A/D-D/A转换器、通用和专用数字信号处理器以及各种软件组成。软件无线电的天线一般要覆盖比较宽的频段,要求每个频段的特性均匀,以满足各种业务的需求。射频前端在发射时主要完成上变频、滤波、功率放大等任务,接受时实现滤波、放大、下变频等功能。而模拟信号进行数字化后的处理任务全由DSP软件承担。为了减轻通用DSP的处理压力,通常把A/D转换器传来的数字信号,经过专用数字信号处理器件处理,降低数据流速率,并且把信号变至基带后,再把数据送给通用DSP进行处理。

基于软件无线电的通信系统研究

哈尔滨工程大学 硕士学位论文 基于软件无线电的通信系统研究 姓名:吕威 申请学位级别:硕士 专业:通信与信息系统 指导教师:李一兵 20031201

摘要 f软件无线电是特指用软件来定义和实现各种功能的多功能智能化无线电通信设备。它的设计主导思想是:将宽带A/D和D/A转换器尽可能地靠近天线,在基本硬件平台基础上,尽可能地采用软件实现无线通信功能。这样,无线通信系统具有很好的通用性和灵活性,使系统互联和升级非常方便。软 件无线电被认为是信领域的第三次突通信到数字通信、固定通信到移动通信之后无线通文介绍了软件无线电提出的背景和定义,对软件无 线电的丌放式总线结构、宽带/多频段天线技术、宽带模数转换技术、数字下变频技术和高速信号处理技术等关键技术进行了讨论。 本文研究了软件无线电的基本结构,并对软件无线电的三种结构射频低通采样数字化结构、射频带通采样数字化结构和宽带中频带通采样数字化结构进行了全方位的分析。软件无线电是一种以现代通信理论为基础,以数字信号处理为核心,以微电子技术为支撑的新的无线通信体系结构。其基础理论主要包括信号采样理论、多速率信号处理理论、高效数字滤波器以及数字信号证交变换理论等,本文对这些基础理论也进行了研究。 本文从信号空间映射的角度对通信信号的调制解调进行了研究,从信号空间的角度可以将调制解调过程理解为: (1)将已调信号表示为N维正交基函数的展开式,其展开系数对应于正交基函数形成的N维信号空间中的点,根据调制方式确定调制信号到N维信号空间的映射,就完成了调制过程; (2)在没有噪声的情况下,解调是一种一对一的逆映射,但在有噪声和衰落失真的情况下,逆映射不能完成解调,必须引入空间距离的概念,根据调制映射关系,建立起多对一的最优信号检测理论; (3)对于模拟解调和波形估计问题,可以基于信号空间的正交投影概念建立最佳滤波和最优估计理论。 本文对基于软件无线电的模拟、数字通信信号调制解调通用结构进行了研究,在此结构上推导出了AM、FM、DSB、SSB、2ASK、2FSK、2PSK、MASK、MFSK、MPSK和MQAM等常用体制的调制解调算法,并使用

浅谈软件无线电技术及其应用

龙源期刊网 https://www.wendangku.net/doc/0b15688391.html, 浅谈软件无线电技术及其应用 作者:孙广东 来源:《价值工程》2011年第19期 Software Radio Technology and Its Application Sun Guangdong (Daqing Radio Monitoring Station,Daqing 163311,China) 摘要:软件无线电是将硬件作为无线通信的基本通用平台,用软件实现尽可能多的无线 通信功能。它被视为继模拟和数字技术后的又一次电子技术革命。未来理想的网络将是一个统一网络,这个网络会容纳多种协议与标准,将对各种传播环境与物理介质进行适应,还有更加开放的接口需要其来提供,所以软件无线电将会有更加广阔的发展前景。 Abstract: Software radio takes hardware as the basic common platform of wireless communications, and uses software to achieve wireless communications as much as possible. It is seen as another revolution in electronic technology following the analog and digital technology. Ideal future network will be a unified network which will accommodate a variety of protocols and standards, will adapt to the mass media and physical environment, as well as will provide a more open interface requires, so software radio will have a more broad development prospect. 关键词:软件无线电射频天线DSP数字处理高性能总线技术 Key words: software radio;RF antenna;DSP digital processing;high-performance bus technology 中图分类号:TP39 文献标识码:A文章编号:1006-4311(2011)19-0170-01 0引言 在1992年5月的美国电信系统会议中美国科学家Joe.Mitola首次对软件无线电(Software Radio)作了明确定义:将硬件作为无线通信的基本通用平台,用软件实现尽可能多的无线通 信功能其具有开放性、灵活性的特点,它采用的是模块化设计原则,其结构为开放的ISO/OSI 体系,同时它也可编程、可移植,支持多模式、高速率、宽频段的无线通信。 1软件无线电面临的技术挑战

相关文档