文档库 最新最全的文档下载
当前位置:文档库 › UCD3138 的数字比较器与模数转换器的

UCD3138 的数字比较器与模数转换器的

UCD3138 的数字比较器与模数转换器的
UCD3138 的数字比较器与模数转换器的

常见电压比较器分析比较

常见电压比较器分析比较 电压比较器通常由集成运放构成,与普通运放电路不同的是,比较器中的集成运放大多处于开环或正反馈的状态。只要在两个输入端加一个很小的信号,运放就会进入非线性区,属于集成运放的非线性应用范围。在分析比较器时,虚断路原则仍成立,虚短及虚地等概念仅在判断临界情况时才适应。 一、零电平比较器(过零比较器) 电压比较器是将一个模拟输入信号ui与一个固定的参考电压UR进行比较和鉴别的电路。 参考电压为零的比较器称为零电平比较器。按输入方式的不同可分为反相输入和同相输入两种零电位比较器,如图1(a)、(b)所示 图1 过零比较器 (a)反相输入;(b)同相输入 通常用阈值电压和传输特性来描述比较器的工作特性。 阈值电压(又称门槛电平)是使比较器输出电压发生跳变时的输入电压值,简称为阈值,用符号UTH表示。

估算阈值主要应抓住输入信号使输出电压发生跳变时的临界条件。这个临界条件是集成运放两个输入端的电位相等(两个输入端的电流也视为零),即U+=U–。对于图1(a)电路,U–=Ui, U+=0, UTH=0。 传输特性是比较器的输出电压uo与输入电压ui在平面直角坐标上的关系。 画传输特性的一般步骤是:先求阈值,再根据电压比较器的具体电路,分析在输入电压由最低变到最高(正向过程)和输入电压由最高到最低(负向过程)两种情况下,输出电压的变化规律,然后画出传输特性。 二、任意电平比较器(俘零比较器) 将零电平比较器中的接地端改接为一个参考电压UR(设为直流电压),由于UR的大小和极性均可调整,电路成为任意电平比较器或称俘零比较器。

图2 任意电平比较器及传输特性 (a)任意电平比较器;(b)传输特性 图3 电平检测比较器信传输特性 (a)电平检测比较器;(b)传输特性 电平电压比较器结构简单,灵敏度高,但它的抗干扰能力差。也就是说,如果输入信号因干扰在阈值附近变化时,输出电压将在高、低两个电平之间反复地跳变,可能使输出状态产生误动作。为了提高电压比较器的抗干扰能力,下面介绍有两个不同阈值的滞回电压比较器。 三、滞回电压比较器 滞回比较器又称施密特触发器,迟滞比较器。这种比较器的特点是当输入信号ui逐渐增大或逐渐减小时,它有两个阈值,且不相等,其传输特性具有“滞回”曲线的形状。 滞回比较器也有反相输入和同相输入两种方式。

一种高性能的CMOS电压比较器设计

【关键词】电压比较器高增益低功耗失调电压 模拟集成电路中比较器是一个基本模块,广泛应用于模拟信号到数字信号的转换。在a/d 转换器中,电压比较器的增益,带宽,功耗,失调电压的特性严重影响整个转换器的转换速度和精度,传统的电压比较器采用多级结构,使用输入失调存储技术(ios)和输出失调存储技术(oos)对失调电压进行消除,增加了电路结构的复杂度和功耗,芯片面积也越来越大。但随着应用速度越来越高,功耗要求越来越低,ios和oos要求放大器有足够高的增益和带宽,这些因素对于其发展有一定的制约作用。 本文设计的电压比较器电路结构简单,采用了两级放大结构,前级放大采用差分放大电路,利用差分电路抑制共模信号的干扰,提高了共模抑制比,减少了信号中噪声的干扰,第二级放大采用共源共栅电路对失调电压进行了很好的控制,使电路的失调电压达到150μv,输出级采用推挽输出电路提升了输出的驱动能力,整个比较器的功耗非常低,芯片整个面积仅为29.56μm×25.68μm。该比较器设计主要用于高精度时间测量芯片中,通过比较器产生一个低延时的门控信号,对于整个时间测量电路达到一个精准的控制。通过仿真结果得知,该电压比较器满足应用需求。 1 电压比较器结构 如图1所示为cmos电压比较器原理图,该比较器由偏置电路、差分放大器、共源放大器和推挽级输出电路组成。其中,m1管和m2管组成偏置电压电路,为差分放大器和共源放大器提供偏置电压。通过调节m1管和m2管的宽长比,让差分放大器和共源放大器得到合适的工作电流,合理设计差分放大器和共源放大器,主要考虑输入失调电压、输入共模范围、输出信号的增益和带宽的影响,设计出一个性能最优的比较器电路。m10管和m11管组成一个推挽输出级电路,提升输出信号的驱动能力,为了能更好的和其它电路进行协同工作。 该电压比较器的工作原理如下:是同相输入端,是反相输入端。当输入电压高于时,m3管导通,,m3管和m7管的电流相同,m8管又与m7管为镜像电流关系,m8管导通,使,b点为高电平,c点为低电平,vo输出高电平。当输入电压低于vb时,,因此,m4管导通阻抗低,b点为低电平,导致m9管导通,c点为高电平,vo输出为低电平。 1.1 偏置电压电路设计 m1管和m2管组成偏置电路提供m5管和m6管的栅极电位。偏置电路采用pmos管和nmos 管栅漏极相连,两管子均工作于饱和区,为差分放大器和共源放大器提供恒定的电流源。因此, 1.2 差分放大器的设计 差分放大电路的作用有两个:首先对输入信号进行放大,这样就可以对比较级电路的比较时间进行降低,同时把总体延时降到最低;其次是对输入信号差值进行放大,这样就可以把失调电压对整个电路的影响降到最低。高带宽在高速比较器中是一个重要影响因素,高的带宽可以使整个电路的比较时间减少,从而对于比较器的速度进行提高。 负向共模输入电压决定了差分输入对管。负向共模输入电压取决于m5管进入饱和区的条件。负向共模输入电压为。 m3管、m4管和m5都工作在饱和区,三个管子的阈值电压相等。 考虑到负向共模范围低和电压增益高的要求,取=1.2v ,由式(7)可以得到m3管的宽长比。 m3管和m4管是完全对称的输入对管,所以可以得到。 有源负载对管m7和m8由正向共模输入电压决定,正向共模输入电压取决于m3管进入饱和区的条件,则得到: 设计共模输入电压=3v,。i0为差分放大器的工作电流。由式(8)可以得到m7管的宽长

实验3-组合逻辑电路数据选择器实验

南通大学计算机科学与技术学院计算机数字逻辑设计 实验报告书 实验名组合逻辑电路数据选择器实验 班级_____计嵌151_______________ 姓名_____张耀_____________________ 指导教师顾晖 日期 2016-11-03

目录 实验一组合逻辑电路数据选择器实验 (1) 1.实验目的 (1) 2.实验用器件和仪表 (1) 3.实验内容 (1) 4.电路原理图 (1) 5.实验过程及数据记录 (2) 6.实验数据分析与小结 (9) 7.实验心得体会 (9)

实验三组合逻辑电路数据选择器实验 1 实验目的 1. 熟悉集成数据选择器的逻辑功能及测试方法。 2. 学会用集成数据选择器进行逻辑设计。 2 实验用器件和仪表 1、8 选 1 数据选择器 74HC251 1 片 3 实验内容 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用 3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的电路。 4 电路原理图 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用

3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的 电路。 5 实验过程及数据记录 1、基本组合逻辑电路的搭建与测量 用 2 片 74LS00 组成图 3.1 所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

图 3.1 组合逻辑电路 (2)先按图 3.1 写出 Y1、Y2 的逻辑表达式并化简。 Y1==A·B ·A =A + A·B=A + B Y2=B·C ·B·A = A · B+ B ·C (3)图中 A、B、C 接逻辑开关,Y1,Y2 接发光管或逻辑终端电平显示。(4)改变 A、B、C 输入的状态,观测并填表写出 Y1,Y2 的输出状态。 表 3.1 组合电路记录

数值比较器的应用

数值比较器电路的仿真分析及应用 程勇 陈素 陈淑平 (机电信息工程系 实训中心 450008) 摘要:数值比较器是数字电路中经常用到的典型电路,传统的教学模式中,对数值比较器的学习及应用设计,离不开在实验室中的电路调试,学习方式较为枯燥抽象,又耗时费力,学习效果也不尽理想。现代电子设计中,由于仿真软件的出现,变抽象的知识为直观的展示,既可以通过仿真学习数值比较器的工作原理,又可以通过仿真进行数值比较器的应用设计,学习及应用效果事半功倍。 关键词:数值比较器、仿真分析、应用 在各种数字系统尤其是在数字电子计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。 一.电路设计分析 首先讨论1位数值比较器。1位数值比较器是多位比较器的基础。当A 和B 都是1位二进制数时,它们的取值和比较结果可由1位数值比较器的真值表表示,如表1所示。 表1 1位数值比较器的真值表 由真值表可得如下逻辑表达式 A B A B A B F AB F AB F AB AB A B ><====+=⊕ 由逻辑表达式可以画出如图1所示的逻辑图。

图1 1位数值比较器逻辑图 二.比较器电路的仿真分析 (一)元件选取及电路组成 打开仿真软件Multisim 10,根据图1所示的1位数值比较器逻辑图,可以在仿真软件Multisim 10中构建仿真电路,如 图3所示。 1.元件选取 (1)指示灯的选取 1位数值比较器逻辑运算完后,输出结果处 接一指示灯作为指示,灯亮表示运算结果成立, 灯灭表示运算结果不成立。单击元件栏的Place Indicator→PROBE,选取PROBE_RED指示灯。 为了观察清晰明白,将指示灯PROBE连击打开其图2 指示灯的Label设置 设置对话框,在其Label中的标号由默认的X1改为“A等于B”、“A大于B”、“A 小于B”等。如图2所示。 (2)其他元器件可参照以下说明取用。 电源VCC:Place Source→POWER_SOURCES→VCC 接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。 或非门U1A的选取:Place TTL→74LS→74LS02D 与门U3A、U5A的选取:Place TTL→74LS→74LS08D 非门U2 A、U4A的选取:Place TTL→74LS→74LS04N 2.电路组成 参照图3放置元件并进行连接,构成1位数值比较器的仿真测试电路。 (二)仿真分析

电压比较器LM393学习资料

电压比较器L M3 93

电压比较器LM393 【教材分析】 “电压比较器”这部分内容是上海市劳动技术教材(科教版)高二年级第二章控制技术里面电子控制系统部分的内容。理解掌握电压比较器电路的工作原理对于后续“光电自动循迹小车”控制原理部分的学习非常重要。 LM393数字电路作为一个新的知识点,如果结合“光电自动循迹小车”电路图讲解,由于还涉及到输入输出部分的分析,很多学生理解起来有困难。只有将电压比较器在电路中的功能及应用讲清讲透彻,在这个基础条件上再让学生学习制作“光电自动循迹小车”能起到事半功倍的效果。 本节课从电压比较器接法分析和实验验证入手,一步步引导学生深入探讨,然后结合生活实例让学生动手设计制作“光控照明电路”,在实践中加深 学生对于电压比较器的理解掌握。 【学情分析】 由于高二已进行文理分班,考虑到本班级是文科班,在课堂教学内容安排 上我尽量降低难度,在理论知识讲解上要透彻,在实践操作指导上要细致,能让大多数学生都能体验技术设计的过程,感受技术活动的乐趣。 在前阶段的学习中学生已经认识了基本的电子元器件如电阻、发光二极 管、传感器等,用电子实验板搭建过几个简单电路,也了解了一些数字集成电路的知识。通过生活实例讲解电压比较器作用后,让学生通过实验板搭建实验验证,在此过程中学生既能理解电压比较器功能,又能了解LM393的电路接 法。在此基础上结合生活实际启发学生设计制作“光控照明电路”,引导学生拓宽思路,开拓视野,有助于培养学生分析问题解决问题的能力,有助于学生

综合设计能力的提高。 【教学目标】 1、知识与技能 (1)初步学会识读集成电路LM393的内部结构和引脚图。 (2)理解电压比较器在电路中的作用和接入电路的方法。 (3)学会用集成电路LM393设计制作简单的电子作品。 2、过程与方法 (1)共同探讨电压比较器接入电路的方法,选择合适电子元器件在电子实验板上搭建验证电路,探究电压比较器电路的工作原理。 (2)联系生活实际,通过分析、设计、制作、调试“光控照明电路”,进一步了解电压比较器在实际电路中的作用,提高分析问题、解决问题的能力。 3、情感态度与价值观 (1)通过电压比较器电路分析、在电子实验板上组装与实验调试,达到“理论一实践一理论”相结合,激发学习兴趣,增强创新意识,合作意识。 (2)通过“光控照明电路”的设计和制作,感悟数字技术对改善生活的作用,激发学习科学技术、应用科学技术的热情。 【教学重点与难点】 1、重点:电压比较器电路的工作原理 2、难点:电压比较器接入电路的方法 【教学器材】 教具:多媒体课件、多媒体实物投影

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

电压比较器原理分析(学年论文)

电压比较器原理分析 目录 第一章绪论 (2) 第二章电压比较器原理图 (2) 第三章电压比较器工作原理及应用 (3) 3.1 什么是电压比较器 (3) 3.2 电压比较器的工作原理 (5) 3.3 比较器与运放的差别 (5) 第四章比较器典型应用电路分析 (6) 4.1 散热风扇自动控制电路 (6) 4.2窗口比较器 (9) 参考文献 (11)

第一章绪论 电压比较器是对输入信号进行鉴幅与比较的电路,是组成非正弦波发生电路的基本单元电路,在测量和控制中有着相当广泛的应用。本文主要讲述各种电压比较器及其对应的应用电路,讲述各种电压比较器的特点及其电压传输特性,同时阐述电压比较器的组成特点和分析方法。 电压比较器是集成运放非线性应用电路,他常用于各种电子设备中,那么什么是电压比较器呢?下面我给大家介绍一下,它将一个模拟量电压信号和一个参考固定电压相比较,在二者幅度相等的附近,输出电压将产生跃变,相应输出高电平或低电平。比较器可以组成非正弦波形变换电路及应用于模拟与数字信号转换等领域。 图1 图1所示为一最简单的电压比较器,UR为参考电压,加在运放的同相的输入端,输入电压UI加在反相的输入端。 第二章电压比较器原理图 电压比较器可将模拟信号转换成二值信号,即只有高电平和低电平两种状态的离散信号。因此,可用电压比较器作为模拟电路和数字电路的接口电路。集成电压比较器虽然比集成运放的开环增益低,失调电压大,共模抑制比小,但其响应速度快,传输延迟时间短,而且一般不需要加限幅电路就可以直接驱动TTL、CMOS和ECL等集成数字电路;有些芯片带负载能力强,还可以直接驱动继电器和指示灯。 按一个器件上所含有电压比较器的个数,可分为单、双和四电压比较器;按功能,可分为通用性高速型低功耗型低电压型和高精度型电压比较器;按输出方式,可分为普通集电极(或漏极)开路输出或互补输出三种情况。集电极(或漏极)开路输出电压必须在输出端接一个电阻至电源,若一个为高电平,则另一个必为低电平。 此外,还有的集成电压比较器带有选通断,用来控制电路是处于工作状态,还是处于禁止状态。所谓工作状态,是指点乱编电压传输特性工作;所谓禁止状态,是指电路不按电压传输特性工作,从输出端看进去相当于开路,即处于高阻状态。 下面是对具体电压比较器的功能电路分析:(A)电路图1传输特性当UI<UR时,运放输出高电平,稳压管DZ反向稳压工作。输出端电位被其箝位在稳压管的稳定电压UZ,即UO=UZ

数字电路实验报告——数据选择器

第八次实验报告 实验六 数据选择器 一、实验目的要求 1、 熟悉中规模集成电路数据选择器的工作原理与逻辑功能 2、 掌握数据选择器的应用 二、实验仪器、设备 直流稳压电源、电子电路调试器、T4153、CC4011 三、实验线路、原理框图 (一)数据选择器的基本原理 数据选择器是常用的组合逻辑部件之一,它有若干个输入端,若干个控制输入端及一个输出端。 数据选择器的地址变量一般的选择方式是: (1) 选用逻辑表达式各乘积项中出现次数最多的变量(包括原变量与反变量),以简 化数据输入端的附加电路。 (2) 选择一组具有一定物理意义的量。 (二)T4153的逻辑符号、逻辑功能及管脚排列图 (1)T4153是一个双4选1数据选择器,其逻辑符号如图1: 图1 (2) T4153的功能表如下表 其中D0、D1、D2、D3为4个数据输入端;Y 为输出端;S 是使能端,在S 是使能端,在 原SJ 符号

S =0时使能,在S =1时Y=0;A1、A0是器件中两个选择器公用的地址输入端。该器件的 逻辑表达式为: Y=S (1A 0A 0D +101D A A +201D A A +301A A A ) (3) T4153的管脚排列图如图2 图2 (三)利用T4153四选一数据选择器设计一个一位二进制全减器的实验原理和实验线路 (1)一位二进制全减器的逻辑功能表见下表: n D =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C n C =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C =n A n B 1-n C +n A n B +n A n B 1-n C (3)根据全减器的逻辑功能表设计出的实验线路图为图3: S 11D 3 1D 2 1D 1 1D 0 1Y

4位数值比较器设计

电了技术课程设计报告题目: 4 位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师:

机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1课程设计的任务 采用Multisim 12.0 软件实现4位数值比较器的设计与仿真。 1.2课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74LS85集成数值比较器。 (3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A(与B3B2B1B(进行比较。从A的最高位A3和 B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3则再比较次高位A2=B2余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道: FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1+FA3=B3FA2=B2FA1=B2FA0 >B0+F A3=B3FA2=B2FA仁B1FA0=B0IA>B (2-1) FAB、IAB、IAvB、IA=B进行适当处理,IA>B=IA

模电自主设计实验—同相滞回电压比较器的研究 - 副本

姓名班级学号 实验日期节次教师签字成绩 实验名称同相滞回电压比较器的研究 1.实验目的 1.掌握同相滞回电压比较器的电路构成及特点。 2.掌握测试同相滞回电压比较器的方法。 3.掌握同相滞回电压比较器的设计方法。 4.掌握同相滞回电压比较器的仿真方法。 2.总体设计方案或技术路线 1.应用背景 电压比较器是集成运算放大器非线性应用电路,它是对输入信号鉴幅和比较的电路, 是组成非正弦波发生电路的基本单元电路,在测量和控制中有着相当广乏的应用。 所以本次试验以研究同相滞回电压比较器为基础来了解电压比较器的特性和功能。 2.同相滞回电压比较器 滞回比较器有两个阈值电压,输入电压ui从小变大过程中使输出电压uo产生跃变 的阈值电压,不等于从大变小过程中是输出电压产生跃变的阈值电压,电 路具有回滞特性。 同相滞回电压比较器的电路如图1所示,根据电压传输特性可知,输入电压作用于 同相输入端,uo=。求解阈值的电压表达式为

3.实验电路图 图中为100 KΩ,为10 KΩ,为5.1 KΩ4.仪器设备名称、型号 1.示波器 1台 2.直流稳压电源 1台 3.低频信号发生器 1台 4.交流毫伏表 1台 5.万用表 1块 6.模电实验箱 1台5.理论分析或仿真分析结果 理论的传输特性曲线为

6.详细实验步骤及实验结果数据记录 一.基础实验 运放选择LM324芯片,按图1正确连接好电路,并进行如下操作: 1.接可调直流电源,调输入电压测出由时的临界值。并记录 到表格1中 2. 接可调直流电源,调输入电压测出由时的临界值。并记录 到表格1中。 表格1 并且根据以上结果绘制出传输特性曲线: 3.输入幅值、频率f=500的正弦波,观察波形并记录如下。

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

电压比较器工作原理及应用实例

电压比较器工作原理及应用实例 时间:2011-11-24来源:作者:方佩敏 来源:https://www.wendangku.net/doc/0b17875813.html, 本文主要介绍电压比较器基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。 什么是电压比较器 简单地说,电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+”端)及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压VA,反相端输入VB。VA和VB的变化如图1(b)所示。在时间0~t1时,VA>VB;在t1~t2时,VB>VA;在t2~t3时,VA>VB。在这种情况下,Vout 的输出如图1(c)所示:VA>VB时,Vout输出高电平(饱和输出);VB>VA时,Vout 输出低电平。根据输出电平的高低便可知道哪个电压大。 如果把VA输入到反相端,VB输入到同相端,VA及VB的电压变化仍然如图1(b)所示,则Vout输出如图1(d)所示。与图1(c)比较,其输出电平倒了一下。输出电平变化与VA、VB的输入端有关。 图2(a)是双电源(正负电源)供电的比较器。如果它的VA、VB输入电压如图

1(b)那样,它的输出特性如图2(b)所示。VB>VA时,Vout输出饱和负电压。 如果输入电压VA与某一个固定不变的电压VB相比较,如图3(a)所示。此VB称为参考电压、基准电压或阈值电压。如果这参考电压是0V(地电平),如图3(b)所示,它一般用作过零检测。 比较器的工作原理 比较器是由运算放大器发展而来的,比较器电路可以看作是运算放大器的一种应用电路。由于比较器电路应用较为广泛,所以开发出了专门的比较器集成电路。 图4(a)由运算放大器组成的差分放大器电路,输入电压VA经分压器R2、R3分压后接在同相端,VB通过输入电阻R1接在反相端,RF为反馈电阻,若不考虑输入失调电压,则其输出电压Vout与VA、VB及4个电阻的关系式为: Vout=(1+RF/R1)·R3/(R2+R3)VA-(RF/R1)VB。若R1=R2,R3=RF,则 Vout=RF/R1(VA-VB),RF/R1为放大器的增益。当R1=R2=0(相当于R1、R2短路),R3=RF=∞(相当于R3、RF开路)时,Vout=∞。增益成为无穷大,其电路图就形成图4(b)的样子,差分放大器处于开环状态,它就是比较器电路。实际上,运放处于开环状态时,其增益并非无穷大,而Vout输出是饱和电压,它小于正负电源电压,也不可能是无穷大。

数字电子技术基础实验-8选1数据选择器74LS151

8选1数据选择器74LS151 简介 74LS151是一种典型的集成电路数据选择器,为互补输出的8选1数据选择器,它有3个地址输入端CBA,可选择D0~D7 8个数据源,具有两个互补输出端,同相输出端Y和反相输出端W。 74LS151引脚图 选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。 (1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。 (2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。 如:CBA=000,则选择D0数据到输出端,即Y=D0。 如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。

74LS151功能表 数据选择器的应用 数据选择器除实现有选择的传送数据外,还有其他用途,下面介绍几种典型应用。 (1)逻辑函数产生器 从74LS151的逻辑图可以看出,当使能端G=0时,Y是C、B、A和输入数据D0~D7的与或函数。 式中mi是C、B、A构成的最小项。显然。当Di=1时,其对应的最小项mi在与或表达式中出现,当Di=0时,对应的最小项就不出现。利用这一点,不难实现组合逻辑函数。 已知逻辑函数,利用数据选择器构成函数产生器的过程是,将函数变换成最小项表达式,根据最小项表达式确定各数据输入端的二元常量。将数据选择器的地址信号C、 B、A作为函数的输入变量,数据输入D0~D7,作为控制信号,控制各最小项在输出 逻辑函数中是否出现,使能端G始终保持低电平,这样8选1数据选择器就成为一个3变量的函数产生器。

Candence课程设计——2位数值比较器

集成电路设计论文 论文题目:2位数值比较器 姓名:陈英文 学号:1020630126 学院:机械与电子工程学院专业:电子科学与技术 班级:10206301 指导教师:蔡老师

一、课程实验设计目的 本次课程设计把重点放在电路的设计、制作和仿真上,熟悉在UNIX系统下Candencce软件的使用,掌握电路原理图的输入和编辑及电路的仿真。 在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。比较结果有A>B、AB)、Y(A

逻辑符号示意框图: Y(A>B) Y(A=B) Y(AB)Y(A=B)Y(A

2.3 逻辑图如下所示: 3. 2位数值比较器 3.1 定义:比较两个2 位二进制数的大小的电路 3.2 基础:2位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。 3.3 输入:两个2位二进制数A=A1 A0 、B=B1 B0

电压比较器实验

实验报告 课程名称:___模拟电子技术实验____________指导老师:_ ___ _成绩:__________________ 实验名称:________实验类型:_EDA___________同组学生姓名:__ __ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一. 实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二. 实验内容 1 .过零电压比较器 2 .单门限电压比较器 3 .滞回电压比较器 4 .窗口电压比较器 5 .三态电压比较器 三.实验原理 比较器的输出结构 集电极开路输出比较器 集电极/发射极开路输出比较器

漏极开路输出比较器 推挽式输出比较器 ● 过零电压比较器电路 : 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压 时,输出 ;反之,当输入电压 时,输出 。 ● 基本单门限比较器电路 单门限比较器的输入信号V in 接比较器的同相输入端,反相输入端接参考电压V ref (门限电平) 。当输入电压V in >V ref 时,输出为高电平V OH ;当输入电压V in

电子线路基础数字电路实验4 数据选择器

实验四数据选择器 一、实验目的 1. 熟悉中规模集成数据选择器的逻辑功能及测试方法。 2. 学习用集成数据选择器进行逻辑设计。 二、实验原理 数据选择器是常用的组合逻辑部件之一。它由组合逻辑电路对数字信号进行控制来完成较复杂的逻辑功能。它有若干个数据输入端D0、D1、…,若干个控制输入端A0、A1、…和一个输出端Y0。在控制输入端加上适当的信号,即可从多个输入数据源中将所需的数据信号选择出来,送到输出端。使用时也可以在控制输入端上加上一组二进制编码程序的信号,使电路按要求输出一串信号,所以它也是一种可编程序的逻辑部件。 中规模集成芯片74LS153为双四选一数据选择器,引脚排列如图7—1所示,其中D0,D1,D2,D3为四个数据输入端,Y为输出端,A1,A2为控制输入端(或称地址端)同时控制两个四选一数据选择器的工作,G为工作状态选择端(或称使能端)。74LS153的逻辑功能如表7—1所示,当1 =G G时电路不工作,此 1= 2 ) ( 时无论A1、A0处于什么状态,输出Y总为零,即禁止所有数据输出,当( =G G时,电路正常工作,被选择的数据送到输出端,如A1A0=01,则选1= ) 2 中数据D1输出。 图7—1 图7—2 表7—1

当G =0时,74LS153的逻辑表达式为 中规模集成芯片74LS151为八选一数据选择器,引脚排列如图7—2所示。其中D 0—D 7为数据输入端,)(Y Y 为输出端,A 2、A 1、A 0为地址端,74LS151的逻辑功能如表7—2所示。逻辑表达式为 数据选择器是一种通用性很强的中规模集成电路,除了能传递数据外,还可用它设计成数码比较器,变并行码为串行及组成函数发生器。本实验内容为用数据选择器设计函数发生器。 用数据选择器可以产生任意组合的逻辑函数,因而用数据选择器构成函数发生器方法简便,线路简单。对于任何给定的三输入变量逻辑函数均可用四选一数据选择器来实现,同时对于四输入变量逻辑函数可以用八选一数据选择器来实现。应当指出,数据选择器实现逻辑函数时,要求逻辑函数式变换成最小项表达式,因此,对函数化简是没有意义的。 表7—2 例:用八选一数据选择器实现逻辑函数 CA BC AB F +== D A A D A A D A A D A A Y 3 1 2 1 1 1 1 +++= D A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A Y 7 2 6 1 2 5 1 2 4 1 2 3 1 2 2 1 2 1 1 2 1 2 +++ ++++=

数字电路二位数值比较器

数字电子技术基础 课程设计报告书 题目:2位数值比较器 姓名: 班级: 指导教师: 设计时间:2011年3月— 7月 民族大学数学与计算机学院 一、背景和编写目的 随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器,比如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。 本次设计的目的就是通过实践掌握数字电路的分析方法和设计方法,了解了

解EDA技术和maxplus2软件并掌握VHDL硬件描述语言的设计方法和思想。以数字电子技术基础为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对比较器的设计,巩固和综合运用所学知识,提高分析、解决计算机技术实际问题的独立工作能力。 比较器有2位数比较器,4位数比较器,8位数比较器等多种。本课程设计就是两位数比较器,可以实现2位二进制数值的比较。 二、EDA和VHDL的介绍 EDA技术 EDA技术的概念 EDA是电子设计自动化(E1echonics Des5p AM?toM60n)的缩写。由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异。从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。 EDA技术的特点 采用可编程器件,通过设计芯片来实现系统功能。采用硬件描述语言作为设计输入和库(LibraLy)的引入,由设计者定义器件的内部逻辑和管脚,将原来由电路板设计完成的大部分工作故在芯片的设计中进行。由于管脚定义的灵活性,大大减轻了电路图设计和电路板设计的工作量和难度,有效增强了设计的灵活性,提高了工作效率。并且可减少芯片的数量,缩小系统体积,降低能源消耗,提高了系统的性能和可靠性。能全方位地利用计算机自动设计、仿真和调试。VHDL语言 VHDL语言的简介 VHDL语言是一种用于电路设计的高级的硬件描述语言。其主要是应用在数字电路的设计中。在一些实力较为雄厚的单位,它常被用来设计ASIC。 VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计分成外部和内部,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点.与其他硬件描述语言相比,VHDL的特点: 1、功能强大、设计灵活: 它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。VHDL支持同步电路、异步电路和随机电路的设计。VHDL支持自底向上的设计,又支持自顶向下的设计。 2、支持广泛、易于修改: 大多数EDA工具几乎都支持VHDL,故在硬件电路设计过程中,主要的设计文件是用VHDL编写的源代码,因为VHDL易读和结构化,所以易于修改设计。 3、强大的系统硬件描述能力

电压比较器原理及使用

实验十电压比较器的安装与测试 一.实验目的 1.了解电压比较器的工作原理。 2.安装和测试四种典型的比较器电路:过零比较器、电平检测器、滞回比较器和窗口比较器。 二.预习要求 1.预习过零比较器、电平检测器、滞回比较器和窗口比较器的工作原理。 2.预习使用示波器测量信号波形和电压传输特性的方法。 三.实验原理 电压比较器的基本功能是能对两个输入电压的大小进行比较,判断出其中那一个比较大。比较的结果用输出电压的高和低来表示。电压比较器可以采用专用的集成比较器,也可以采用运算放大器组成。由集成运算放大器组成的比较器,其输出电平在最大输出电压的正极限值和负极限值之间摆动,当要和数字电路相连接时,必须增添附加电路,对它的输出电压采取箝位措施,使它的高低输出电平,满足数字电路逻辑电平的要求。 下面讨论几种常见的比较器电路。 基本过零比较器(零电平比较器) 过零比较器主要用来将输入信号与零电位进行比较,+15V 以决定输出电压的极性。电路如图1所示:u i 2 7 放大器接成开环形式,信号u i从反向端输入,同μA7416u o 相端接地。当输入信号u i< 0时,输出电压u o为正极限34 值U OM;由于理想运放的电压增益A u→∞,故当输-15V 入信号由小到大,达到u i = 0 时,即u -= u + 的时刻, 输出电压u o 由正极限值U OM 翻转到负极限值-U OM。图 1 反向输入过零比较器 当u i >0时输出u o为负极限值-U OM。因此,输出翻转的临界条件是u + = u - = 0。 即:+U OM u i< 0 u o = (1) -U OM u i >0 其传输特性如图2(a)所示。所以通过该电路输出的电压值,就可以鉴别输入信号电压u i是大于零还是小于零,即可用做信号电压过零的检测器。

四位数值比较器

四 位 数 值 比 较 器 班级:电子信息工程(2)班姓名:林贤款 学号:Xb13610208 时间:2015.12—2015.12

一、实验目的。 1、设计四位二进制码比较器,并在QuantusII上进行仿真。 2、掌握VHDL设计实体的基本结构及文字规则。 二、实验要求。 1、用VHDL语言编写四位二进制码比较器的源文件; 2、对设计进行仿真验证; 三、实验原理。本实验实现要实现两个4位二进制码的比较 器。即当输入为两个4位二进制码和时, 输出为M(A=B),G(A>B)和L(AB时,G处接的二极管亮;当A

五、实验步骤。 1、打开软件。 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

2、新建工程。 (1)选择File菜单下New Project Wizard。 (2)输入工作目录和项目名称。 (3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入。 (4)选择设计器件。 (5)选择第三方EDA综合、仿真和时序分析工具。 (6)建立项目完成,显示项目概要。

相关文档