文档库 最新最全的文档下载
当前位置:文档库 › EDA简单组合、时序电路验证实验

EDA简单组合、时序电路验证实验

EDA简单组合、时序电路验证实验
EDA简单组合、时序电路验证实验

图1.1 RTL图

实验结果:

将以上电路模型进行仿真,得到结果如图1.2所示。

输入输出情况如表1.1所示。

表1.1

输入输出

ain bin cin sum cout

0 0 0 0 0

0 0 1 1 0

图1.2 仿真图

、结果分析与实验体会

经过本次实验,我对用xlinx软件进行EDA设计有了初步的认识和理解,由于是第一次进行类似实验,在实验过程中遇到了很多问题,但在同学和老师的帮助下,这些问题都一一解决。在解决这些问题的过程中,我初步学会了使用Xilinx ISE FPGA开发环境,掌握了简单组合和时序电路的设计方法。

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

东南大学 数字电路实验 第4章_时序逻辑电路

东南大学电工电子实验中心 实验报告 课程名称:数字逻辑电路设计实践 第 4 次实验 实验名称:基本时序逻辑电路 院(系):信息科学与工程学院专业:信息工程姓名:学号: 实验室: 实验组别: 同组人员:无实验时间: 评定成绩:审阅教师:

时序逻辑电路 一、实验目的 1.掌握时序逻辑电路的一般设计过程; 2.掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3.掌握时序逻辑电路的基本调试方法; 4.熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 二、实验原理 1.时序逻辑电路的特点(与组合电路的区别): ——具有记忆功能,任一时刻的输出信号不仅取决于当时的输出信号,而且还取决于电路原来的值,或者说还与以前的输入有关。 2.时序逻辑电路的基本单元——触发器(本实验中只用到D触发器) 触发器实现状态机(流水灯中用到) 3.时序电路中的时钟 1)同步和异步(一般都是同步,但实现一些任意模的计数器时要异步控制时钟端) 2)时钟产生电路(电容的充放电):在内容3中的32768Hz的方波信号需要自己通过 电路产生,就是用到此原理。 4.常用时序功能块 1)计数器(74161) a)任意进制的同步计数器:异步清零;同步置零;同步置数;级联 b)序列发生器 ——通过与组合逻辑电路配合实现(计数器不必考虑自启动) 2)移位寄存器(74194) a)计数器(一定注意能否自启动) b)序列发生器(还是要注意分析能否自启动) 三、实验内容 1.广告流水灯 a.实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 ①写出设计过程,画出设计的逻辑电路图,按图搭接电路。 ②将单脉冲加到系统时钟端,静态验证实验电路。 ③将TTL连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉冲 CLK、触发器的输出端Q2、Q1、Q0和8个LED上的波形。 b.实验数据 ①设计电路。 1)问题分析 流水灯的1暗7亮对应8个状态,故可采用3个触发器实现;而且题目要求输出8个信号控制8个灯的亮暗,故可以把3个触发器的输出加到3-8译码器的控制端,对应的8个译码器输出端信号控制8个灯的亮暗。

时序逻辑电路实验报告

时序逻辑电路实验报告 一、实验目的 1. 加深理解时序逻辑电路的工作原理。 2. 掌握时序逻辑电路的设计方法。 3. 掌握时序逻辑电路的功能测试方法。 二、实验环境 1、PC机 2、Multisim软件工具 三、实验任务及要求 1、设计要求: 要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 2、实验内容: (1)按要求完成上述电路的功能。 (2)验证其功能是否正确。 四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程) 首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。然后通过化简卡诺图,得到对应的次态的状态方 程;

然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。

五、实验电路(画出完整的逻辑电路图和器件接线图)

六、总结调试过程所遇到的问题及解决方法,实验体会 1、设计过程中遇到过哪些问题?是如何解决的? 在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。 2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。 通过这次时序逻辑电路实验,我最大的感触就是实验设计的思路与步骤一定要清晰,思路与步骤的清晰与否真的是造成实验设计是否困难的最重要的因素。清晰的话,做起实验来如同顺水推舟,毫不费力,不清晰的话则如入泥潭,寸步难行。

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

《单片机系统设计》实验报告

短学期实验报告 (单片机系统设计) 题目: 专业: 指导教师: 学生姓名: 学号: 完成时间: 成绩:

基于单片机的交流电压表设计 目录 1系统的设计要求 (2) 2系统的硬件要求 (2) 2.1真有效值转换电路的分析 (2) 2.2放大电路的设计 (3) 2.3A/D转换电路的设计 (3) 2.4单片机电路的分析 (4) 2.5显示电路 (4) 3 软件设计 (5) 3.1 软件的总流程图 (5) 3.2 初始化定义与定时器初始化流程图 (5) 3.3 A/D转换流程图 (6) 3.4 数据处理流程图 (6) 3.5 数据显示流程图 (7) 4 调试 (7) 4.1 调试准备 (7) 4.2 关键点调试 (7) 4.3 测试结果 (8) 4.4 误差分析 (8) 5结束语 (8) 5.1 总结 (9) 5.2 展望 (9) 附录1 总原理图 (10) 附录2 程序 (10) 附录3 实物图 (14)

基于单片机的交流电压表设计 ****学院 ****专业 姓名 指导老师:******* 1 设计要求 (1)运用单片机实现真有效值的检测和显示。 (2)数据采集使用中断方式,显示内容为有效值与峰值交替进行。 2 硬件设计 本系统是完成一个真有效值的测量和显示,利用AD737将交流电转换成交流电压的有效值,用ADC0804实现模数转换,再通过单片机用数码管来显示。系统原理框图如图2-1所示。系统框图由真有效值转换电路、放大电路、A/D 转换电路、单片机电路、数码管显示电路五部分。 图2-1 原理框图 2.1 真有效值转换电路 真有效值转换电路主要是利用AD737芯片来实现真有效值直流变换的,即将输入的交流信号转换成直流信号的有效值,其原理图如图2-2所示。 图2-2 真有效值转换电路 由于AD737最大输入电压为200mV, 所以需要接两个二极管来限制输入电压,起到限幅的作用。如图中D1、D2,由IN4148构成,电容C6是耦合电容,电阻R1是限流电阻。 2.2 放大电路设计 放大电路主要是利用运放uA741来进行放大,电路原理图如图2-3所示。 A/D 转换 单片机 电路 显示 电路 转换 电路 交流 信号 放大 电路

实验五时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告一、实验目的 1掌握同步计数器设计方法与测试方法。 2 ?掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20 等。 三、实验原理和实验电路 1计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2. (1)四位二进制(十六进制)计数器74LS161 (74LS163) 74LS161是同步置数、异步清零的4位二进制加法计数器,其功能表见表。 74LS163是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LS161相同。二者的外部引脚图也相同,如图所示。 3.集成计数器的应用一一实现任意M进制计数器

Eft CR IK rh th Ih ET 7-I1A C1M /( 制扭环计数 同步清零法器 同步置数法 般情况任意M 进制计数器的结构分为 3类,第一类是由触发器构成的简单计数器。 第 二类是 由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。 第一类,可利用时序逻辑电路的设计方法步骤进行设计。 第二类,当计数器的模 M 较小时用 一片集成计数器即可以实现,当 M 较大时,可通过多片计数器级联实现。两种实现方法:反 馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4?实验电路: 十进制计数器 1

图74LS161 (74LS163)外部引脚图 四、实验内容及步骤 1 .集成计数器实验 (1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。 (2)根据电路图,首先用D触发器74LS7474构成一个不能自启的六进制扭环形计数器,同样将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二 极管的变化,记录得到电路计数过程和状态的转换规律。注意观察电路是否能自启,若不能自启,则将电路置位有效状态。接下来再用D触发器74LS7474构成一个能自启的六进制扭环 形计数器,重复上述操作。 2?分频实验 依据实验原理图用74LS163及74LS00组成一个具有方波输出的六分频电路。选择适当时钟输入方式及频率(CP接连续波脉冲),用双踪示波器观察并记录时钟与分频输出信号的时序波形。 五、实验结果及数据分析 1 .集成计数实验同步清零和同步置数的十进制加一计数器状态转换过程分别如下所示: 0000 : 0001 : 0010 : 0011 ; 0100 爲00*卄庇爲爲卄yh 六进制扭环形计数器的状态转换过程如下:

[VIP专享]EDA 实验2简单分频时序逻辑电路设计 实验报告

时序电路设计 实验目的: 1.掌握条件语句在简单时序模块设计中的使用。 2.学习在Verilog模块中应用计数器。 实验环境: Windows 7、MAX+PlusⅡ10等。 实验内容: 1.模为60的8421BCD码加法计数器的文本设计及仿真。 2.BCD码—七段数码管显示译码器的文本设计及仿真。 3.用For语句设计和仿真七人投票表决器。 4.1/20分频器的文本设计及仿真。 实验过程: 一、模为60的8421BCD码加法计数器的文本设计及仿真: (1)新建文本:选择菜单File下的New,出现如图5.1所示的对话框,在框中选中“Text Editor file”,按“OK”按钮,即选中了文本编辑方式。 图5.1 新建文本 (2)另存为Verilog编辑文件,命名为“count60.v”如图5.2所示。 (3)在编辑窗口中输入程序,如图5.3所示。

图5.2 另存为.V编辑文件图5.4 设置当前仿真的文本设计 图5.3 模为60的8421BCD码加法计数器的设计代码

(4)设置当前文本:在MAX+PLUS II中,在编译一个项目前,必须确定一个设计文件作为当前项目。按下列步骤确定项目名:在File菜单中选择Project 中的Name选项,将出现Project Name 对话框:在Files 框内,选择当前的设计文件。选择“OK”。如图5.4所示。 (5)打开编译器窗口:在MAX—plusⅡ菜单内选择Compiler 项,即出现如图5.5的编译器窗口。 图5.5 编译器窗口 选择Start即可开始编译,MAX+PLUS II编译器将检查项目是否有错,并对项目进行逻辑综合,然后配置到一个Altera 器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件。 (6)建立波形编辑文件:选择菜单File下的New选项,在出现的New对话框中选择“Waveform Editor File”,单击OK后将出现波形编辑器子窗口。 (7)仿真节点插入:选择菜单Node下的Enter Nodes from SNF选项,出现如图5.6所示的选择信号结点对话框。按右上侧的“List”按钮,在左边的列表框选择需要的信号结点,然后按中间的“=>”按钮,单击“OK”,选中的信号将出现在波形编辑器中。 图5.6 仿真节点插入

时序逻辑电路实验报告

数字电子技术实验报告 实验名称:时序逻辑电路 班级姓名学号 实验日期年月日交报告日期年月日 时序逻辑电路 一、设计要求 1.设计一个秒脉冲发生器,用LED指示秒脉冲的发送。 2.设计一个带有异步清零和同步置数信号的4位寄存器,并在开发板上验证。 二、实验内容 任务1:秒脉冲发生器 (1)源文件——ge.v module ge( input clr, input clk, output LED ); parameter T1s = 26'b10_1111_1010_1111_0000_1000_0000; reg [26:0] Count1; always @ (posedge clk or posedge clr) begin if(clr==1) Count1<=0; else if(Count1==T1s) Count1<=0; else Count1<=Count1 + 1; end reg rled; always @ (posedge clk or posedge clr) begin if(clr==1) rled<=0; else if(Count1==T1s) rled<=~rled; end assign LED=rled; endmodule (2)约束文件——ge.ucf NET"clk"LOC="B8"; //时钟 NET"clr"LOC="N3"; //SW7

NET"LED"LOC="G1"; //LED7 (3)开发板验证 当将开关SW7拨到1,LED7每隔1s闪烁一次。 任务2:四位寄存器(异步清零,同步置数) (1)源文件——ge1.v module ge1(clr,clk,d,load,q); input clr,clk,load; input[3:0] d; output[3:0] q; reg[3:0] q; always@(posedge clk or posedge clr) if(clr) q<=0; //异步清零 else if(!clr && load) //同步置数 begin q[0]<=1;q[1]<=1;q[2]<=1;q[3]<=1; end else q<=d; endmodule (2)约束文件——ge1.ucf NET"clk"LOC="B8"; //MCLK NET"clr"LOC="P11"; //SW0 NET"load"LOC="L3"; //SW1 NET"d[3]"LOC="G3"; //SW4 NET"d[2]"LOC="F3"; //SW5 NET"d[1]"LOC="E2"; //SW6 NET"d[0]"LOC="N3"; //SW7 NET"q[3]"LOC="N5"; //LD4 NET"q[2]"LOC="N4"; //LD5 NET"q[1]"LOC="P4"; //LD6 NET"q[0]"LOC="G1"; //LD7 (3)仿真文件——test_ge1.v #100; clr=1; #200; clr=0;clk=1;d=0100; #200; clk=0;d=1100; #200; clk=1;d=1001; #200; clk=0;d=0011;

时序逻辑电路实验报告

时序逻辑实验报告(时序逻辑实验报告1)。实验目的1。掌握同步计数器的设计方法和测试方法。2掌握常用积分计数器的逻辑功能和使用方法。第二,lshd数字信号盒。该计数器不仅可用于计数,还可用于分频、定时和数字运算。在实际工程应用中,很少使用小型触发器构成计数器,而直接使用中型集成计数器。2(1)四位二进制计数器74ls161?74lsl61是具有同步设置和异步清除功能的4位二进制加法计数器。其功能表如下表所示。74ls163是一个4位二进制加法计数器,具有同步设置和同步清除功能。其他函数与74lsl61相同,区别在于删除是同步的。此图显示两个管脚的外部示意图。表74lsl61功能表3。应用集成计数器实现了正常情况下的任意一种计数器。任何玛丽计数器的结构都可以分为三种类型。第一种类型是由触发器组成的简单计数器。第二种类型由一个集成的二进制计数器组成。第三种类型是移位寄存器,它由移位寄存器组成。在第一类中,您可以使用顺序逻辑电路进行设计。在第二类中,当计数器的模数m较小时,可以通过积分计数器来实现。当m较大时,可以通过级联多个计数器来实现。实现方法有两种:反馈设置法和反馈清除法。第三种类型是移位寄存器计数器,它由移位寄存器

组成。4实验电路:十进制计数器同步清除法、同步设定法、六边形回路输出、六边形分频电路图74ls161外部引脚图4。实验内容及步骤?1。综合计数器实验?根据电路图,使用介质集成计数器74ls163和“与非门74ls00”连接十进制计数器的同步设置或同步清零,输出连接到数码管或LED。然后以单个脉冲作为触发输入,观察数码管或发光二极管的变化,记录电路的计数过程和状态转换规律。根据电路图,用D触发器74ls7474构成一个六边形扭环计数器,输出端还连接到数码管或发光二极管上。然后用单个脉冲作为触发输入,观察数码管或LED的变化,记录电路计数过程和状态转换规律。注意观察电路是否能自动启动,否则不能将电路设置为有效状态。接下来,使用D触发器74ls7474形成自启动六边形扭环计数器,并重复上述操作。2分频实验根据实验原理图,由74ls163和74ls00组成方波输出六分频电路。选择合适的时钟输入方式和频率,用双轨示波器观察并记录时钟和分频输出信号的时序波形。5实验结果及数据分析1。同步清除十进制数加一。状态转换过程如下:分频实验成功实现了六频输出,输出波形为跟随:。实验总结和改进实验比较成功。通过这次测试,掌握了同步计数器的设计方法和

数字电路 时序逻辑电路——计数器实验实验报告

肇 庆 学 院 电子信息与机电工程 学院 数字电路 课 实验报告 12电气(1) 班姓名 王园园 学号 2 实验日期2014年5 月26 日 实验合作者:李俊杰 老师评定 实验题目:时序逻辑电路——计数器实验 一、实验目的 (一)掌握由集成触发器构成计数器的方法。 (二)熟悉中规模集成计数器74LS161计数器的逻辑功能及使用方法。 (三)学习中规模集成计数器74LS192计数器的逻辑功能及使用方法。 (四)学习计数器清零端与置数端的功能、同步与异步的概念。 二、实验仪器: DZX-1型电子学综合实验装置 UT52万用表 芯片74LS00 74LS161 74LS192 三、实验内容 图5-1 74LS161构成N 进制计数器目标电路图 图5-2 74LS161引脚排列图 输入 输出 CR CP LD CT P CT T D 3D 2D 1D 0 n n n n Q Q Q Q 0123 C0 0 x x x x x 0 0 0 0 1 0 x x d 3d 2d 1d 0 d 3d 2d 1d 0 CO= CT T Q Q Q Q n n n 123 1 1 1 1 x 计数 CO=n n n n Q Q Q Q 0123 1 x 1 0 x x 保持 CO= CT T Q Q Q Q n n n 123 1 x 1 x x 保持 用十六进制同步加法计数器74LS161构成N 进制计数器的设计(异步清零,同步置数)

1.按图5-1接好。从CP端输入时钟脉冲。 2.将M端接高电平,并把计数结果记录下来。如下表5-2 3.将M端接低电平,并把计数结果记录下来。 4.如果将清零端与置数端接线交换,重复2、3步骤,计数器的N分别等于多少? 答:2,3步骤N都为16 接线交换后,LD=1输入无效。加法计数器计数溢出后CO=1 => CR=0触发异步清零,然后CO=0 => CR=1,计数器重新从零开始加法计数,所以N=15

组合逻辑电路的分析与设计实验报告

组合逻辑电路的分析与设计 实验报告 院系:电子与信息工程学院班级:电信13-2班 组员姓名: 一、实验目的 1、掌握组合逻辑电路的分析方法与测试方法。 2、掌握组合逻辑电路的设计方法。 二、实验原理 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只取决于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行:①由逻辑图写输出端的逻辑表达式;②写出真值表;③根据真值表进行分析,确定电路功能。 2.组合逻辑电路一般设计的过程为图一所示。 图一组合逻辑电路设计方框图 3.设计过程中,“最简”是指按设计要求,使电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 三、实验仪器设备 数字电子实验箱、电子万用表、74LS04、74LS20、74LS00、导线若干。 74LS00 74LS04 74LS20 四、实验内容及方法

1 、设计4线-2线优先编码器并测试其逻辑功能。 数字系统中许多数值或文字符号信息都是用二进制数来表示,多位二进制数的排列组合叫做代码,给代码赋以一定的含义叫做编码。 (1)4线-2线编码器真值表如表一所示 4线-2线编码器真值表 (2)由真值表可得4线-2线编码器最简逻辑表达式为 Y=((I0′I1′I2I3′)′(I0′I1′I2′I3)′)′ 1 Y=((I0′I1I2′I3′)′(I0′I1′I2′I3)′)′ (3)由最简逻辑表达式可分析其逻辑电路图 4线-2线编码器逻辑图 (4)按照全加器电路图搭建编码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 I=1 1Y0Y=0 0 1I=1 1Y0Y=0 1 I=1 1Y0Y=1 0 3I=1 1Y0Y=1 1 2 2、设计2线-4线译码器并测试其逻辑功能。 译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的号.(即电路的某种状态),具有译码功能的逻辑电路称为译码器。 (1)2线-4线译码器真值表如表二所示

eda 时序逻辑电路实验报告

实 验 报 告 姓名:吴克亮 学号:1053305016 班级:电气一班

一、实验名称:组合逻辑电路设计 二、实验目的: 1、掌握用VHDL 语言和EPLD 进行组合逻辑电路的设计方 法。 2、加深对EPLD 设计全过程的理解。 三、实验要求 学习常用组合逻辑的可综合代码的编写, 学习VHDL语言的编程思想与调试方法, 学习通过定制LPM元件实现逻辑设计, 通过波形仿真设计的正确与否。 四、实验设备: MAX+plus 2 五、实验步骤: 1、采用文本编辑器输入VHDL 语言源程序,建立工程。 2、编译。 3、仿真。 4、对芯片进行编程。 5、根据管脚分配情况连线。

实验程序: LIDRART IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY PAN4_5 IS PORT(D:IN STD_LOGIC_VECTOR(3 DOWNTO 0); y:OUT STD_LOGIC); END PAN4_5; ARCHITECTURE DEHA OF PAN4_5 IS SIGNAL DATAIN:INTEGER; BEGIN DA TA<=CONV_INTEGER(D); PROCESS BEGIN IF(DATAIN>=5)THEN Y<='1'; ELSE Y<='0'; END PROCESS; END DEHA; 编译结果

编译通过后进行波形仿真 实验心得体会 做完EDA实验,我感到受益匪浅。这不仅使我了解了EDA 的实验系统,学习了MAX+PLUSⅡ软件的使用,掌握了基本的电路设计流程、方法以及技巧,更增强了我对EDA设计的兴趣。 在实验的过程中,老师又结合实际详细的教了我们VHDL 语言的基本指令及编程方法,教我们熟悉了在PC机上运用MAX+PLUSⅡ软件和EPLD进行电路设计的设计和仿真过程。

时序逻辑电路数电实验报告

实验报告 课程名称:数字电子技术实验姓名: 学号: 专业: 开课学期: 指导教师:

实验课安全知识须知 1.须知1:规范着装。为保证实验操作过程安全、避免实验过程中意外发生,学生禁止穿拖 鞋进入实验室,女生尽量避免穿裙子参加实验。 2.须知2:实验前必须熟悉实验设备参数、掌握设备的技术性能以及操作规程。 3.须知3:实验时人体不可接触带电线路,接线或拆线都必须在切断电源的情况下进行。 4.须知4:学生独立完成接线或改接线路后必须经指导教师检查和允许,并使组内其他同学 引起注意后方可接通电源。实验中如设备发生故障,应立即切断电源,经查清问题和妥善处理故障后,才能继续进行实验。 5.须知5:接通电源前应先检查功率表及电流表的电流量程是否符合要求,有否短路回路存 在,以免损坏仪表或电源。 特别提醒:实验过程中违反以上任一须知,需再次进行预习后方可再来参加实验;课程中违反三次及以上,直接重修。 实验报告撰写要求 1.要求1:预习报告部分列出该次实验使用组件名称或者设备额定参数;绘制实验线路图, 并注明仪表量程、电阻器阻值、电源端编号等。绘制数据记录表格,并注明相关的实验环境参数与要求。 2.要求2:分析报告部分一方面参考思考题要求,对实验数据进行分析和整理,说明实验结 果与理论是否符合;另一方面根据实测数据和在实验中观察和发现的问题,经过自己研究或分析讨论后写出的心得体会。 3.要求3:在数据处理中,曲线的绘制必须用坐标纸画出曲线,曲线要用曲线尺或曲线板连 成光滑曲线,不在曲线上的点仍按实际数据标出其具体坐标。 4.要求4:本课程实验结束后,将各次的实验报告按要求装订,并在首页写上序号(实验课 上签到表对应的序号)。请班长按照序号排序,并在课程结束后按要求上交实验报告。 温馨提示:实验报告撰写过程中如遇预留空白不足,请在该页背面空白接续。

时序电路实验报告

实验5 时序电路实验 预习实验报告 疑问: 1、时序电路的组成原理和控制原理分别是什么? 2、计算机中的周期,节拍和脉冲之间有什么关系? 实验报告 一、波形图: 参数设置: Endtime:2.0us Gridsize:25.0ns 信号设置: clk:时钟信号,设置周期为25ns占空比为50%。 reset: 重置信号,用于清除当前状态机的状态,二进制输入,高电平有效。qd:启动信号,用于启动状态机,二进制输入,低电平有效。 tj:停机控制信号,用于使状态机保持当前状态,二进制输入,高电平 有效。 dp:单拍执行信号,用于使状态机输出且仅输出一次脉冲,二进制输入, 高电平有效。 t1,t2,t3,t4:节拍脉冲信号,二进制输出,高电平时有效。 仿真波形 1.初始状态(0-25ns):reset=1,qd=1,tj=0,dp=0,此时为初始化状态,无输出; 2.启动(25-550ns):保持reset=0,使qd=0,则四个节拍脉冲依次有效; 3.停机(550-650ns):保持tj=1,则节拍脉冲停留在t2的状态; 4.单拍(650-1000ns):恢复tj,使dp=1,则经过一个周期的节拍脉冲后不在产生节拍脉冲; 5.单拍(1000-1750ns):使qd=0再次启动状态机,保持dp=1,则输出一个周期的节拍脉冲后将不再有节拍脉冲输出,在单拍状态为结束时再次使qd=0,启动状态机,最 后恢复dp,也不再有节拍脉冲出现,此时,节拍的出现主要由qd来控制。

6.重置(1750-2000ns):使reset=1,此时,所有状态都恢复到初始值。 结论: 本实验的设计能正确实现模拟状态机的重置,启动,停机,单拍功能,故电路设计正确。 二、实验日志 预习疑问解答: 1、 时序电路的组成原理和控制原理分别是什么? 答:各种计算机的时序电路不同,但基本结构一样。时序电路实验的功能就是产生一系列的节拍点位和节拍脉冲,它一般由时钟脉冲源,时序信号产生电路,节拍脉冲和读写时序译码逻辑,启停控制电路等部分组成。时序电路的结构及原理框图如图所示: 2、 计算机中的周期,节拍和脉冲之间有什么关系? 答:CPU 每取出并执行一条指令所需要的时间通常叫做一个指令周期,一个指令周期一般由若干个CPU 周期(通常定义为从内存中读取一指令字的最短时间,又称机器周期)组成。时序电路最简单的单位体制是“节拍电位—节拍脉冲”二级体制。一个节拍电位表示一个CPU 周期的时间,在一个节拍电位中,又包含若干个节拍脉冲,节拍脉冲表示较小的时间单位。指令周期,节拍点位(机器周期),节拍脉冲之间的关系如图所示: 思考题: 节拍电位 节拍脉冲 时钟周期 T P0 P1 P2 P3 C0 C1 C2 C3

可编程逻辑器件设计实验报告

实验名称:Quartus II基础实验 实验目的:使用Quartus II设计并完成一个简单的逻辑电路 实验时间:2015年地点:803实验室学生姓名:赵佳梦学号:2012117282 实验名称:使用Quartus II设计并完成一个简单的逻辑电路 1、实验步骤 (1)创建工程 (2)创建文件 (3)编译工程 (4)观察RTL视图 (5)仿真 2、VerilogHDL代码 采用原理图输入 3、RTL视图 4、仿真结果 装订线

实验名称:第二部分:VerilogHDL基础实验 实验目的:掌握Quartus II 软件的基本使用方法,完成基本时序电路设计实验时间:2015年地点:803实验室 学生姓名:赵佳梦学号:2012117282 实验名称:简单D触发器 1、实验步骤 (1)创建工程 (2)创建文件 (3)编译工程 (4)观察RTL视图 (5)仿真 2、VerilogHDL代码 module _DFF (clk,d,q); input clk,d; output q; reg q; always@ (posedge clk) begin q<=d; end endmodule 3、RTL视图 4、仿真结果 装订线

实验名称:第二部分:VerilogHDL基础实验 实验目的:掌握Quartus II 软件的基本使用方法,完成基本时序电路设计实验时间:2015年地点:803实验室 学生姓名:赵佳梦学号:2012117282 实验名称:同步置数的D触发器 1、实验步骤 创建工程、创建文件、编译工程、观察RTL视图、仿真 2、VerilogHDL代码 module CFQ (clk,d,load,q); input clk,d,load; output q; reg q; always@(posedge clk) begin if(!load) q<=1; else q<=d; end endmodule 3、RTL视图 4、仿真结果 装订线

数字逻辑实验报告-电子科技大学

软件工程专业类课程 实 验 报 告 课程名称: 学院: 专业: 学生姓名: 学号: 指导教师: 评分: 日期:2015年6月9日 1 / 20

电子科技大学 实验报告 一、实验名称基本门电路的功能和特性及其组合电路逻辑实验 二、实验目的 三、实验内容 (1)部分TTL门电路逻辑功能验证 测试其真值表及其简单组合电路的真值表。 (2)组合逻辑电路设计之全加器或全减器 用74LS86(异或)和74LS00(与非)搭出全加器或全减器电路,画出其电路图,并按照其真值表输入不同的逻辑电平信号,观察输出结果和进位/借位电平,记录下来。

四、实验设备和器材 (1)数字逻辑试验箱 (2)导线若干 (3)集成器件:74LS00(与非)74LS04(非)74LS86(异或) 五、实验原理 (1)组合逻辑电路分析方法 (2)组合逻辑电路设计方法 A.逻辑抽象 分析事件的因果关系,确定输入变量和输出变量。 B.逻辑赋值 定义逻辑状态的含义,即以“0”、“1”分别表示输入和输出的不同状态。 C.根据因果关系列出真值表 3 / 20

D.化简或变换后,得到逻辑函数表达式 E.画出逻辑电路图 (3)全加器或全减器设计 图1-1

20 图1-2 图1-3 5 /

六、实验步骤 (1)在实验箱上插入相应的逻辑门电路,并把输入端接实验箱的逻辑开关,输出端接发光二极管,接好电源正负极,即可进行逻辑门特性验证实验,将门的逻辑特性制成表格。 (2)用74LS00连接电路如下图所示,并把输入端接实验箱的逻辑开关,输出端接发光二极管,在MNXY各种输入组合下,观测输出F,并记录下来,写出F=f(M,N,X,Y)的逻辑表达式。 图1-4 (3)用74LS86和74LS00搭出全加器或全减器电路,画出其电路图,并按照其真值表输入不同的逻辑电平信号,观察输出结果和进位/借位电平,记录下来。

实验报告时序分析和优化

实验报告时序分析和优化 篇一:最优化实验报告 最优化第一次实验报告 班级:信息与计算科学 2 班姓名:陈鸿杰学号:201141410202 一、模型求解: 运用黄金分割法求得minφ=-1,最优解为:α=1。 第一次迭代点为:- 第二次迭代点为:- 二、程序代码: function [s,phis,k,G,E]=golds t=-1)/2; h=b-a; phia=feval; phib=feval; p=a+*h; q=a+t*h; phip=feval; phiq=feval;

G=[a, p, q, b]; while>epsilon) || if*h; phip=feval; else a=p; phia=phip; p=q; phip=phiq; h=b-a; q=a+t*h; phiq=feval; end k=k+1; G=[a, p, q, b]; end ds=abs; dphi=abs; if s=p; phis=phip; else

phis=phiq; end E=[ds,dphi]; 三、模型求解: 在Matlab命令窗口输入:[s,phis,k,G,E]=golds x -2*x,-2,5,1e-5,1e-5) 程序运行结果:s = phis = - k = 29 G = - - - E = *[] 篇二:时序实验报告

实验报告实验项目名称时间序列数据平稳性检验实验室机房八 所属课程名称时间序列分析实验类型软件操作 实验日期班级金融保险统计学号2008119060姓名蔡志文 成绩篇二:基本时序电路的实验报告专业:电气工程及自动化姓名:邓思原实验报告学号:3130103251 日期:1月5日地点:东三-310 课程名称:电路与电子技术实验ⅰ指导老师:李玉玲成绩: __________________ 实验名称:实验25时序逻辑电路设计、实验27脉冲分配器实验类 型:_____ 同组学生姓名:__ 一、实验目的和要求 1、加深理解时序电路的工作原理; 2、学习时序电路的设计与调试; 3、掌握时序集成 电路的应用。 二、实验内容和原理原理:

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期: 交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲

干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。 三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻

相关文档
相关文档 最新文档