文档库 最新最全的文档下载
当前位置:文档库 › 单片机实习报告-电子钟

单片机实习报告-电子钟

单片机实习报告-电子钟
单片机实习报告-电子钟

电气信息工程学院单片机实习报告

课题名称:电子钟设计

专业:自动化

姓名:曾涛

班级学号:08-1-33

指导教师:刘海波

成绩:

二○一一年七月九日

目录

一、实验介绍...................................... - 3 -

二、题目及设计要求.......................... - 3 -

三、实验内容...................................... - 3 -

四、实验原理...................................... - 4 -

1、AT89C51介绍 ........................... - 4 -

2、4*4键盘原理............................. - 6 -

3、LED显示原理 ........................... - 7 -

五、Protues原理图............................. - 8 -

六、设计程序:.................................. - 9 -

七、实习心得.................................... - 15 -

八、参考文献.................................... - 16 -

一、实验介绍

近年来随着计算机在社会领域的渗透和大规模集成电路的发展,单片机的应用正在不断地走向深入,由于它具有功能强,体积小,功耗低,价格便宜,工作可靠,使用方便等特点,因此特别适合于与控制有关的系统,越来越广泛地应用于自动控制,智能化仪器,仪表,数据采集以及家用电器等各个领域,单片机往往是作为一个核心部件来使用,在根据具体硬件结构,以及针对具体应用对象特点的软件结合以作完善。基于单片机的电子钟是一种现代计时器,与传统的机械表相比,它具有走时准确,显示直观,无机械传动装置等特点。

二、题目及设计要求

1、题目:电子钟设计

2、设计要求:掌握小型单片机系统的设计

三、实验内容

1、熟悉Protues软件的使用

2、利用AT89C51单片机、4*4键盘、数码管设计电子钟,显示年、月、日及时、分、秒,时间可以任意修改。

四、实验原理

1、AT89C51介绍

AT89C51是一种带4K字节FLASH存储器的低电压、高性能CMOS 8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪存可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除1000次。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器。

管脚说明:

VCC:供电电压。

GND:接地。

P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL 门电流。当P0口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。

P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。

P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲

器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。

RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。

/PSEN:外部程序存储器的选通信号。在由外部程序存储器取指

期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。

/EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器,不管是否有内部程序存储器。当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。

XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。

XTAL2:来自反向振荡器的输出。

2、4*4键盘原理

矩阵键盘又称为行列式键盘,它是用4条I/O线作为行线,4

条I/O线作为列线组成的键盘。在行线和列线的每一个交叉点上,

设置一个按键。这样键盘中按键的个数是4×4个。这种行列式键

盘结构能够有效地提高单片机系统中I/O口的利用率。

图为ME300B矩阵键盘电路图,行线接P2.0-P2.3,列线接P2.4

-P2.7。

3、LED显示原理

LED 显示器有两种方式:静态显示和动态显示。静态显示就是当显示器显示某个字段时,相应的段恒定的导通或截止,直到显示下一个字符为止,静态显示器的亮度高,编程容易,管理比较简,使用的 I/O 口线资源也比较简单,但本电子时钟使用的 LED 数码管为14位,使用静态显示已经满足不了需求,所以采用动态显示。动态显示是,为了简化电路降低成本,将所有的段选线并联在一起,由一个8为I/O口P0控制,年、月、日的位选由P1口控制,时、分、秒的位选由P3口控制。要想显示不同的字符,就要采用扫描法轮流点亮各位 LED,在每瞬间只使用某一位显示字符。在此瞬间,P1口或P3口先输入相应的字符段选码,再由 P0 口在给显示位选通电平,因为所用的 LED 为共阳,应送入高电平进行选通,如此轮流,使每位分时显示该位应显示的字符。段选码位选吗没送入一位后延时 1ms,因人的眼视觉暂留时间为 100ms,所以看上去每个数码管都在亮。

五、Protues原理图

功能介绍:先按设置键,依次输入年月日及时间后按开始键即可

六、设计程序:

#include

#include

unsigned char table[]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90}; unsigned char

a[16]={0xBF,0xBF,0xBF,0xBF,0xBF,0xBF,0xBF,0xBF,0xBF,0xBF,0xBF,0xBF,0xBF, 0xBF,0xBF,0xBF};

unsigned char c[16]={0};

unsigned char d[16]={0};

unsigned char x=0,y=0;

void display(unsigned char m); /*数码显示子函数*/

void delay(unsigned char n); /*延时子程序*/

void ccsj(unsigned char l); /*数据存储子函数*/

void keyscan1();/*键盘扫描子函数1*/

void keyscan2();/*键盘扫描子函数2*/

void sjcl();/*数据处理子函数*/

void shezhi();/*设置按键子函数*/

void sure();/*确定按键子函数*/

void main();/*主函数*/

{P0=0x00; /*初始化*/

P1=0xff;

P3=0xff;

while(1)

{keyscan1();

}

}

/******************识别按键*************************/

void keyscan1()

{unsigned char n;

P2=0xfb;

n=P2;

n&=0xf0;

if(n!=0xf0){

delay(20);

P2=0xfb;

n=P2;

n&=0xf0;

if(n!=0xf0){

switch(n){

case(0xb0):delay(1000);shezhi();break;

}

}

}

}

void keyscan2()

{

unsigned char n;

P2=0xfe;

n=P2;

n&=0xf0;

if(n!=0xf0){

delay(20);

P2=0xfe;

n=P2;

n&=0xf0;

if(n!=0xf0){

switch(n){

case(0xe0):ccsj(0);delay(1000);display(0);break;

case(0xd0):ccsj(1);delay(1000);display(1);break;

case(0xb0):ccsj(2);delay(1000);display(2);break;

case(0x70):ccsj(3);delay(1000);display(3);break;

}

}

}

P2=0xfd;

n=P2;

n&=0xf0;

if(n!=0xf0){

delay(20);

P2=0xfd;

n=P2;

n&=0xf0;

if(n!=0xf0){

switch(n){

case(0xe0):ccsj(4);delay(1000);display(4);break;

case(0xd0):ccsj(5);delay(1000);display(5);break;

case(0xb0):ccsj(6);delay(1000);display(6);break;

case(0x70):ccsj(7);delay(1000);display(7);break;

}

}

}

P2=0xfb;

n=P2;

n&=0xf0;

if(n!=0xf0){

delay(20);

P2=0xfb;

n=P2;

n&=0xf0;

if(n!=0xf0){

switch(n){

case(0xe0):ccsj(8);delay(1000);display(8);break;

case(0xd0):ccsj(9);delay(1000);display(9);break;

case(0xb0):delay(1000);shezhi();break;

case(0x70):delay(1000);sure();break;

}

}

}

}

/***************设置时间**************/

void shezhi()

{P0=0xBF;

P1=0xff;

P3=0xff;

while(1)

{

keyscan2();/* 按位设置时间*/

}

}

/**************存储数据***************/

void ccsj(unsigned char l)

{

c[y]=l;

y++;

if(y>15)

y=0;

}

/************数码管显示部分*********************/ void display(unsigned char m)

{char i,j,b,d;

a[x]=table[m];

x++;

P1=0x01;

b=P1;

while(1)

{for(i=0;i<8;i++)

{P0=a[i];

P3=0x00;

b=b<<1;

delay(1);

P1=b;

}

P3=0x01;

d=P3;

for(j=8;j<16;j++)

{

P0=a[j];

d=d<<1;

delay(1);

P3=d;

}

P1=0x01;

b=P1;

keyscan2();

}

}

/*****************数据处理******************/

void sjcl()

{unsigned int nian,yue,ri; /*设置年月日*/

unsigned int shi,fen,miao,uu; /* 设置时分秒*/

int i,j,g;

nian=1000*c[0]+100*c[1]+10*c[2]+c[3];

yue=10*c[4]+c[5];

ri=10*c[6]+c[7];

shi=10*c[8]+c[9];

fen=10*c[10]+c[11];

miao=10*c[12]+c[13];

uu=10*c[14]+c[15];

uu=uu+1;

if(uu==60)

{uu=0;

miao=miao+1;

if(miao==60)

{miao=0;

fen=fen+1;

if(fen==60)

{fen=0;

shi=shi+1;

if(shi==25)

{shi=0;

ri=ri+1;

if((ri==30)&&(((nian%4)==0)||((nian%400)==0))&&(yue==2))

{ ri=1;

yue=yue+1;

if(yue==12)

{yue=1;nian=nian+1;} }

if((ri==29)&&(yue==2))

{ ri=1;

yue=yue+1;

if(yue==12)

{yue=1;nian=nian+1;}}

if((ri==32)&&((yue==1)||(yue==3)||(yue==5)||(yue==7)||(yue==8)||(yue==10)||(yue== 12)))

{ ri=1;

yue=yue+1;

if(yue==12)

{yue=1;

nian=nian+1;}}

if((ri==31)&&((yue==4)||(yue==6)||(yue==9)||(yue==11)))

{ ri=1;yue=yue+1;

if(yue==12)

{yue=1;nian=nian+1;}}

}

}

}

}

j=1000;

for(i=0;i<4;i++)

{c[i]=nian/j;

g=c[i];

nian=nian-j*g;

j=j/10;

}

j=10;

for(i=4;i<6;i++)

{c[i]=yue/j;

g=c[i];

yue=yue-j*g;

j=j/10;

}

j=10;

for(i=6;i<8;i++)

{c[i]=ri/j;

g=c[i];

ri=ri-j*g;

j=j/10;

}

j=10;

for(i=8;i<10;i++)

{c[i]=shi/j;

g=c[i];

shi=shi-j*g;

j=j/10;

}

j=10;

for(i=10;i<12;i++)

{c[i]=fen/j;

g=c[i];

fen=fen-j*g;

j=j/10;

}

j=10;

for(i=12;i<14;i++)

{c[i]=miao/j;

g=c[i];

miao=miao-j*g;

j=j/10;

}

j=10;

for(i=14;i<16;i++)

{c[i]=uu/j;

g=c[i];

uu=uu-j*g;

j=j/10;

}

for(i=0;i<16;i++)

{j=c[i];

d[i]=table[j];

}

}

/************动态显示*********************/ void sure()

{char i,j,b,e;

while(1)

{ sjcl();

P1=0x01;

b=P1;

for(i=0;i<8;i++)

{P0=d[i];

P3=0x00;

b=b<<1;

delay(1);

P1=b;

}

P3=0x01;

e=P3;

for(j=8;j<16;j++)

{

P0=d[j];

e=e<<1;

delay(1);

P3=e;

}

}

}

/*********延时子程序****************/

void delay(unsigned char n)

{

unsigned char i,j;

for(i=0;i

for(j=0;j<250;j++)

;

}

七、实习心得

在为期一周的实习过程中,我学到了许多书本上学不到的知识,本以为十分枯燥的设计过程却让我得到了很多乐趣,同时也让我懂得了团队合作的力量,为今后的进一步学习奠定了基础。

当老师布置完题目后,由于对需要掌握的知识还不是十分理解,于是我通过看教材和查资料等方式将Protues软件的用法和功能分析清楚,然后后和组员共同确定了设计方案,一步步地分模块地完成部分设计,最终成功地完成了整个系统的硬件和软件设计。

在设计的过程中编程部分是个难点,编程的过程中我们遇到了很

多的问题,比如说当我们组把之前设计出的基本模块整合在一起的时候,结果程序运行出错,数码管不能动态显示,在我们细致的分析整个程序后才发现原来是某个全局变量没有使用好,改正之后程序才运行正常。这个问题也提醒我们在编程的时候需要细心和耐心,这样才不会出现一些马虎的错误。

经过这次的实际动手操作,让我明白不仅要充分的掌握课本上的知识,而且要活学活用、学以致用,不能过分强调基本理论的掌握,而应该侧重于基本知识和实际的相结合。我觉得,从单片机这门课程的特点来看,微机系统如何与外部设备连接、如何与它们交换信息,这才是我们学习单片机的关键所在,而具有一定的系统分析能力和设计能力才是本次实习的目的。

本次实习使我把学到的理论知识和实际的硬件相结合,对单片机这门课程又有了新的理解和认识,这对于我今后的学习会有事半功倍的效果。非常感谢本次实习给了我这样一个锻炼我的机会,在此也感谢老师对我的指导!

八、参考文献

1、单片机原理及应用张毅刚、刘杰《哈尔滨工业大学》

2、单片机基础第三版李广弟、朱月秀、冷祖祁《人民邮电》

3、单片微型计算机第三版李群芳、张士军《电子工业出版社》

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

单片机实习报告

目录一、绪论 二、流水灯 三、拉幕灯 四、六十秒倒计时 五、ARES软件应用 六、心得体会

一、绪论 本次实习利用Proteus7 professional软件中的ISIS软件和ARES软件进行仿真电路连接.利用Keil uvision4进行编程。从而实现对AT89C51单片机的控制。 图1-1 图1-2

图1-3 1、ISIS软件 双击桌面上的ISIS 7 Professional图标或者单击屏幕左下方的“开始”→“程序”→“Proteus 7 Professional”→“ISIS 7 Professional”,出现如图2-1所示屏幕,表明进入Proteus ISIS集成环境。 图2-1 Proteus ISIS集成环境 进入之后的界面类似如图2- 2 所示。 图中已经标注各个部分的作用,我们现在就使用软件提供的功能进行工作。

图2-2 ISIS主窗口 选择器件→进行连接→执行 在原理图编辑窗口下面有一排按钮,利用它 可以控制仿真的过程。点击按钮开始仿真,开始以后按钮的小三角 变成绿色,点击按钮单步仿真,点击按钮暂停和继续仿真切换,点击按钮停止仿真。 以简单项目P1P2为例,说明仿真效果。点击开始仿真按钮,电路如 图2-20所示。

图2-20 运行仿真 观察发现,单片机P1,P2,P3口引脚的每一根线的旁边都有一个红色的小方框,表明当前引脚是高电平,如果小方框是蓝色,表明引脚当前是低电平。如果小方框是灰色,说明此引脚是悬空,P1口的8个引脚就是悬空。与电源VCC相连的引脚都是高电平。与地线GND相连的引脚都是低电平。 点击图中的一个按键,对应的发光二极管会亮。放开按键发光二极管就灭。 点住一个按键不放,观察对应的P1口导线旁边的小方框,变成蓝色,和其对应的P2口的输出线旁边的小方框也变成蓝色,对应的发光二极管亮。这是程序的作用,我们的程序就是将P1口的输入传送到P2口进行输出。 2、keil uvision软件 单击桌面上实验软件,选择如图1的图标或者单击屏幕左下方的 “开始”→“程序”→实验软件→keil uvision.然后出现如图二

数字钟实习报告

数字电路课程设计 题目: 利用CPLD 设计可调时数字钟 学 院 电子信息工程学院 专 业 自动化 学 号 姓 名 教 师 刘鑫 2014年 6 月 9 日 利用CPLD 设计可调时数字钟 装 订 线

摘要 本数字钟采用动态显示数字的方法,输入512Hz的时钟信号,驱动显示位选信号产生,位选信号以85Hz从0到6不断地扫描数码管。 输入2Hz信号通过2分频变成秒信号,秒信号驱动时钟计数模块计数,完成时钟计数的功能,在位选信号扫描到相应的数码管时,计数器将计数的结果显示在数码管上,由于 视觉残留的关系,人眼会感觉到数字一直在显示,从而实现计时功能。 在手动调节时钟时,有三个按键,一个实现清零,一个作为分调整按键,最后一个作为时调整按键。调整时间键在对应时或者分数码管后通过按压按键产生脉冲使数码管实现 加一的运算,从而改变时间,将1Hz闪烁的小数点接在秒信号上即可。 关键词:CPLD 计数器分频器三选择器七段译码器 装 订 线 目录 一总体设计方案 .................................... ..1

1.1设计要求 ........... . (1) 1.2设计原理 (1) 1.2.1电源电路 (1) 1.2.2振荡电路与分频电路 (1) 1.2.3显示电路................... .. (2) 1.2.4JTAG下载接口 (2) 1.2.5CPLD电路原理图 (3) 二各模块说明 (4) 2.1设计思路及步骤 (4) 2.2总体框图 (4) 2.3各模块说明 ..................................... . (4) 2.3.1 7段译码器 (4) 2.3.2 消抖模块 (5) 2.3.3与门模块 (5) 2.3.4数据选择器模块 (6) 2.3.5 D触发器模块 (6) 2.3.6非门模块 (7) 2.3.7或门模块 (7) 2.3.8十进制计数模块 (7) 2.3.9位选模块 (8) 2.3.10秒计数模块 (8) 2.3.11六进制模块 (10) 2.3.12分计数模块 (11) 2.3.13分频器模块 (12) 2.3.14顶层总模块 (13) 2.4数字钟电路总图 (12)

数字电子钟实验报告

咸阳师范学院物理与电子工程学院 课程设计报告 题目: 班级: 姓名: 学号: 指导教师: 成绩: 完成日期:年月

目录 第一章概述 3 第二章数字电子钟的电路原理 4 第三章电路调试与制作11 第四章总结与体会12 第五章附录13

第一章概述 数字钟是采用数字电路实现对.时,分,秒.数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运运超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 虽然市场上已有现成的数字集成电路芯片出售,价格便宜,使用方便,这里所制作的数字电子可以随意设置时,分的输出,是数字电子中具有体积小、耗电省、计时准确、性能稳定、维护方便等优点。 课程设计目的 (1)加强对电子制作的认识,充分掌握和理解设计个部分的工作原理、设计过程、选择芯片器件、电路的焊接与调试等多项知识。 (2)把理论知识与实践相结合,充分发挥个人与团队协作能力,并在实践中锻炼。 (3)提高利用已学知识分析和解决问题的能力。 (4)提高实践动手能力。

第二章数字电子钟的电路原理 数字电子钟的设计与制作主要包括:数码显示电路、计数器与校时电路、时基电路和闹铃报时电路四个部分。 1.数码显示电路 译码和数码显示电路是将数字钟的计时状态直观清晰地反映出来。显示器件选用FTTL-655SB双阴极显示屏组。在计数电路输出信号的驱动下,显示出清晰的数字符号。 2.计数器电路 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。 3.校时电路 数字钟电路由于秒信号的精确性和稳定性不可能做到完全准确无误,时基电路的误差会累积;又因外部环境对电路的影响,设计产品会产生走时误差的现象。所以,电路中就应该有校准时间功能的电路。通过手动调节按键,达到校准的目的。 4.定时报警电路 当调好定时间后并按下开关K1(白色键),显示屏右下方有红点指示,到定时时间有驱动信号经R3使VT1工作,即可定时报警输出。 芯片资料 LM8560是一种大规模时钟集成电路它与双阴极显示屏组可以制成数字钟钟控电路。作为时钟,它准确醒目;作为控制开关,它动作无误;在1小时59分钟或59分钟内,能任意暂停,使用十分方便。 仔细观察从0-9的每个数字并比较图1所示的笔段。内部电路参看图2, LM8560各脚功能,参看图3。

数字电子钟设计报告

《电子线路课程设计报告》 系别:自动化 专业班级:自动化0803 学生姓名:冯刚 指导教师:朱定华 (课程设计时间:2010年05月31日——2010年06月12日) 华中科技大学武昌分校

目录 1.课程设计目的 (3) 2.课程设计题目描述和要求 (3) 3.课程设计报告内容.....................................................................3-9 3.1实验名称 (3) 3.2实验目的 (3) 3.3实验器材及主要器件 (3) 3.4数字电子钟基本原理 (4) 3.5数字电子钟单元电路设计、参数计算和器件选择..............................4-8 3.6数字电子钟电路图 (8) 3.7数字电子钟的组装与调试............................................................8-9 4.总结 (9) 参考文献 (10)

1.课程设计目的 ※掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法; ※进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;※提高电路布局﹑布线及检查和排除故障的能力; ※培养书写综合实验报告的能力。 2.课程设计题目描述和要求 (1)设计一个有“时”、“分”、“秒”(12小时59分59秒)显示,且有校时功能的电子钟; (2)用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试; (3)画出框图和逻辑电路图,写出设计、实验总结报告; (4)选做:整点报时。在59分51秒、53秒、55秒、57秒输出500Hz音频信号,在59分59秒时输出1000Hz信号,音频持续1s,在1000Hz荧屏结束时刻为整点。 3.课程设计报告内容 3.1实验名称 数字电子钟 3.2实验目的 ·掌握数字电子钟的设计、组装与调试方法; ·熟悉集成电路的使用方法。 3.3实验器材及主要器件 (1)74LS48(6片)(2)74LS90(5片)(3)74LS191(1片)(4)74LS00(5片)(5)74LS04(3片)(6)74LS74(1片)(7)74LS2O(2片) (8)555集成芯片(1片) (9)共阴七段显示器(6片)(10)电阻、电容、导线等(若干)

实习报告 单片机实习报告范文3篇

单片机实习报告范文3篇 本文目录单片机实习报告范文单片机生产实习报告单片机课程设计实习报告这次实习我们使用控制电路的单片机是at89s51型号的。通过它实现对八盏双色灯发光二极管的控制p0和p2口控制四盏灯。在at89s51的9引脚接复位电路,对电路实现复位控制。在电路中接入74s164译码器和共阴极数码管,通过at89s51的p3口数据的输入对共阴极数码管的控制。同时也可实现双色发光的二极管与共阴极数码管的共同作用。在at89s51的p3.2口接上中断控制电路,p3.5口接入蜂鸣器,使电路实现中断作用,也使电路便于检测。尽量朝"单片"方向设计硬件系统。系统器件越多,器件之间相互干扰也越强,功耗也增大,也不可避免地降低了系统的稳定性。系统中的相关器件要尽可能做到性能匹配。如选用cmos芯片单片机构成低功耗系统时,系统中所有芯片都应尽可能选择低功耗产品。 硬件电路设计: 1)确保硬件结构和应用软件方案相结合。硬件结构与软件方案会相互影响,软件能实现的功能尽可能由软件实现,以简化硬件结构。必须注意,由软件实现的硬件功能,一般响应时间比硬件实现长,且占用cpu时间; 2)可靠性及抗干扰设计是硬件设计必不可少的一部分,它包括芯片、器件选择、去耦滤波、印刷电路板的合理布线、各元器相互隔离等; 3)尽量朝"mcs-51单片"方向设计硬件系统。系统器件越多,器件

之间相互干扰也越强,所消耗功耗也增大,也不可避免地降低了系统的稳定性; 4)系统中的相关器件要尽可能做到性能匹配。如选用cmos芯片单片机构成低功耗系统时,系统中所有芯片都应尽可能选择低功耗产品。 1.1 单片机型号及特性 单片机型号是at89s51。特性是:⑴8031 cpu与mcs-51⑵兼容4k 节可编程flash存储器(寿命:1000写/擦循环) ⑶全静态工作:0hz-24khz ⑷三级程序存储器保密锁定⑸128*8位内部ram ⑹32条可编程i/o线⑺两个16位定时器/计数器⑻6个中断源⑼可编程串行通道⑽低功耗的闲置和掉电模式⑾片内振荡器和时钟电路1.2 晶振电路 单片机晶振的两个电容的作用这两个电容叫晶振的负载电容,分别接在晶振的两个脚上和对地的电容,一般在几十皮发。它会影响到晶振的谐振频率和输出幅度,晶振的负载电容=[(cd*cg)/(cd+cg)]+cic+△c式中cd,cg为分别接在晶振的两个脚上和对地的电容,cic(集成电路内部电容)+△c(pcb上电容)经验值为3至5pf。各种逻辑芯片的晶振引脚可以等效为电容三点式振荡器。晶振引脚的内部通常是一个反相器, 或者是奇数个反相器串联。在晶振输出引脚xo 和晶振输入引脚xi 之间用一个电阻连接, 对于cmos 芯片通常是数m 到数十m 欧之间. 很多芯片的引脚内部已经包含了这个电阻, 引脚外部就不用接了。这个电阻是为了使反相器在振荡初

电子钟实训报告

课程设计说明书课程名称:数字电子技术课程设计 专业:通信工程班级: 姓名:学号: 指导教师:成绩: 完成日期: 2012 年 1 月 12 日

任务书

摘要 数字钟是用数字集成电路构成,用数码管显示的一种现代化计数器。它一般由振荡器、分频器、计数器、译码器、显示器、较时电路等部分组成,这些都是数字电路中应用最广的基本电路。振荡器和分频器构成组成标准秒信号发生器,不同进制的计数器、译码器和显示器组成计时系统,通过校时校时校分电路实现对时、分的校准。数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。 关键词:电子钟数码管 PMOS集成电路

目录

1 电子元件的焊接技术 一个电子装置由若干个电子元件组成,各个电子元件通过焊接连接为一个完整的 电路,焊接技术的优劣直接影响电子装置是否正常运行和质量的好坏。 焊接工具和材料 电烙铁 电烙铁是焊接电子元器件的重要工具,直接影响着焊接的质量。电烙铁从结构上分为外热式和内热式两种。选择电烙铁要根据焊接任务的不同,选用不同功率的电烙铁。一般焊接半导体元器件选用20W电烙铁即可。 新的电烙铁使用前要进行“上锡”。首先将烙铁头锉干净,然后把电烙铁通电加热,预热一会儿后将烙铁头粘上松香,再用烙铁头将焊锡丝熔化,使烙铁头上薄薄的镀上一 层锡。防止电烙铁长时间加热因氧化使烙铁头被“烧死”,不再“吃锡”。如图焊料 焊料是将被焊物体牢固的焊接到电路板上。焊料熔点比被焊物熔点低很多,否则容易和被焊物连在一起。 一般的电子元件用焊料是锡铅比例为3:2的焊锡,其低熔点仅为180摄氏度左右,用25W-30W的电烙铁就可以熔化。焊锡通常制作成管状焊锡丝,内芯有松香做助焊剂。如图 图电烙铁图焊锡 助焊剂 助焊剂的作用是去除焊件表面的氧化物,加热时防氧化,帮助焊料流动,减少表面张力,提高焊接质量。一般用松香或松香水。 手工焊接方法 1 . 焊接操作姿势 操作姿势。手工操作时,应注意保持正确的姿势,有利于健康和安全。正确的操作姿势是:挺胸端正直坐,不要弯腰,鼻尖至烙铁头尖端至少应保持20cm以上的距离,通常以40cm时为宜 电烙铁拿法

数字电子钟课程设计报告-数电

华东交通大学理工学院课程设计报告书所属课程名称数字电子技术课程设计题目数字电子钟课程设计分院电信分院 专业班级10电信2班 学号20100210410201 学生姓名陈晓娟 指导教师徐涢基 20 12 年12 月18 日

目录 第1章课程设计内容及要求 (3) 第2章元器件清单及主要器件介绍 (5) 第3章原理设计和功能描述 (10) 第4章数字电子钟的实现 (15) 第5章实验心得 (17) 第6章参考文献 (18)

第1章课程设计内容及要求 1.1 数字钟简介 20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。 因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。 1.2 设计目的 1. 掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2. 进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力; 3. 提高电路布局,布线及检查和排除故障的能力。 1.3 设计要求 1. 设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。 2. 用中小规模集成电路组成电子钟,并在实验箱上进行组 装、调试。 3. 画出框图和逻辑电路图、写出设计、实验总结报告。 4. 整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

数字电子时钟实训报告

电子钟实训报告 课程名称:电气自动化 班级:电气S09-4班 指导老师:刘云芳 姓名:谷宇 一.实训目的: 完成基于CPLD的多功能数字钟设计。硬件界面为一个8位的LED数码管,时间显示方式为8位同时显示,即显示状态为:88-88-88。显示的时间制为24小时制。 1.设计一个具有“时”、“分”、“秒”的十进制显示计时器,要求为24小时循环。.具有校时、校分功能。 2、学会怎么样去焊接元器件较多的电子产品。 3.了解各集成块的功能,进一步加强该元件的使用。 二.实训要求: 1数字钟的功能要求;准确计时,以数字形式显示时,分,秒的时间,

小时要Array求为“24 翻1,”,分和秒的计时要求为60进位, 要求校正时间电路。 2直流稳压电源的功能要求:输入220v交流电压,输出+5v直流电压。 三.电路图的绘制: 、秒、分为00~59六十进制计数器。 3、时为00~23二十四进制计数器。 4、可手动校正:能分别进行分、时的校正。只要将开关置于手动位置,可分别对分、 时进行手动脉冲输入调整或连续脉冲输入校正础上绘制的,它是电路组装、调试和 维修的依据。绘制电路图时,注意以下几点: 1、元器件布局合理、排列均匀、图面清晰、便于阅读。 2、集成电路的型号不要标错,引脚要标明,不要遗漏。 3、线条要清晰,明了;在电气连接点的地方要注意区分。 基本设计思路:通过运用CD4518芯片来构成两个60进制的计数器做时钟的秒、分 电路和一个24进制的计数器做“时”电路;然后用CD4543芯片来将二进制数解码 驱动二极管发亮。前提中,运用4060和4040芯片分频来产生秒脉冲信号,和调时 的目的。

数字电子时钟课程设计

数字电子技术基础课程设计报告 班级:姓名: 学号: 一、设计目的 1掌握专业基础知识的综合能力。 2完成设计电路的原理设计、故障排除。 3逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 4让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法。 5进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 6培养书写综合实验报告的能力。 二、设计仪器 1 LM555CH 2 74LS161N 74LS160N 74LS290 3 74LS00 74LS08 4 电源电阻电容二极管接地等 三数字电子钟的基本功能及用途 现在数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性

能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。 四设计原理及方框图 数字钟实际上是一个对标准频率进行计数的计数电路,标准的1HZ时间信号必须做到准确稳定。由图可见:本数字钟电路主要由震荡器、、时分秒计数器、译码显示器构成。它们的工作原理是:由震荡器产生的高频脉冲信号作为数字钟的时间基准,送入秒计数

单片机实习报告

单片机原理与应用课程设计 题目:计数器数码管综合设计 专业班级:电自化1201班 学号:20122835 姓名:邱胜朋 2016年11月12日

目录 1.摘要及关键词 (1) 1.1主要问题 (1) 1.2 解决思路 (1) 2.简介 (2) 2.1 系统特性及其功能 (2) 2.2 设计的具体方案 (2) 3.系统设计 (2) 3.1 硬件电路 (3) 3.1.1使用的主要器件 (4) 3.1.2主要部分器件说明 (5) 3.2 软件设计 (7) 3.2.1 程序流程图 (7) 3.2.2 程序设计内容 (9) 3.2.3 实验程序及部分注释 (9) 4.实验结果 (14) 5.实习总结 (15) 参考文献 (15) 附录 (15)

1.摘要及关键词 1.1主要解决问题 随着当今社会的快速发展,大部分的自动化生产过程中的流水线的自动装箱或自动装配都需要用的自动计数,而怎样对流水线上的产品需要进行实时的、有效率的、精确地自动技术成为广泛关注的问题。传统的机械式或电子式计数器比较复杂,元器件数量较多,故障率较高,维修比较困难,而且设置不方便,功能不易更改,适用范围较窄。 1.2解决思路 基于单片机的自动计数器已经成为比较成熟的产品,应用于各种需要计数的场合,具有计数准确,操作方便,显示准确计数功能形式多样化的特点,被广泛的应用,能够实现数据统计的搜集,有效的节省劳动力高质量地完成任务。基于单片机构成的产品自动计数器研究的主要内容包括:如果构成检测电路、STC89C51单片机用何种方式对外部计数脉冲进行计数显示控制、LED显示驱动模块的选择、STC89C51单片机的扩展。在这个设计中主要需要解决的问题便是如何提高单片机的抗干扰能力以及稳定性。 本文以单片机为核心的技术设计,阐述了系统的原理、硬件电路和软件设计相关内容。 关键词:单片机,数码管,计数显示,流水线 2.简介 2.1系统特性及其功能 本次实习设计以STC89C51系列单片机开发板为基础开发环境,编制程序实现饮料包装流水线从0~36的饮料瓶数字实时显示。设计具体思路是:综合单片机开发板按键模块和led数码管模块,使用按键的闭合模拟流水线上检测装置检测到的计数脉冲,送入STC89C51单片机控制单元,通过对单片机编程实现计数然后由led数码管显示,实现实时计数功能。可以实现的功能有:

数字时钟实训报告

课程实训报告课程名称:《数字设备设计与生产实训》 实训题目:24/12小时制数字时钟 专业:通信技术班级:15级 学生姓名:叶之梦 陈杰学号:1503534 1503535 指导教师:刘旭飞职称:讲师 部门:电子信息工程学院 起止日期:2016年 12月23 日至 2016 年 12月31 日 教务处制

重庆工商职业学院课程实训报告编写规范 每位学生做完实训后均应提交课程实训报告(不少于2000字)或实训作品。格式和内容要求如下: 1.页面设置:纸型为A4,纵向,左边距为 2.5厘米,上、下、右的边距均为2厘米。 2.正文: (1)内容要求:(仅作参考,可自行拟定内容) △实训目的 △实训内容 △需求分析 △概要设计 △详细设计 △调试分析 △用户使用说明 △测试结果 △实训建议、意见、体会 △附录或参考资料 (2)格式要求: 每章标题以三号黑体居中打印;章下空二行为节,以四号黑体左起打印,节下空一行为小节,以小四号黑体左起打印。换行后以小四号宋体打印正文。正文内的标题号用1.、(1)、a等依次标出。 重庆工商职业学院课程实训成绩评定

前言: 近年来随着数字技术的迅速发展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉和掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的基本实验方法、分析问题和故障检查方法以及双踪示波器等常用仪器使用方法等基本电路的基本实验技能外,还必须培养大学生工程设计和组织实验能力。本次课程设计的目的在于培养学生对基本电路的应用和掌握,使学生在实验原理的指导下,初步具备基本电路的分析和设计能力,并掌握其应用方法;自行拟定实验步骤,检查和排除故障、分析和处理实验结果及撰写实验报告的能力。综合实验的设计目的是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识灵活运用,进行工程创新设计、提高实验技能的实践。数字电子钟是一种计时装置,它具有时、分、秒计时功能和显示时间功能。数字电子钟由于采用了石英技术,走时精度高、稳定性好,不需要经常调校,使用携带方便。因此,在定时控制及时间程序控制等方面都得到广泛的应用。本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加巩固和掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识和了解。

数字电子钟设计实训报告

@ 数字电子钟的设计 【摘要】 本系统由晶体振荡器、分频器、计数器、译码器、七段译码显示器和校准、报时电路组成,采用了CMOS或TTL系列(双列直插式)中小规模集成芯片。总体方案设计由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能,进行了各单元电路设计,总体安装、制作及调试。数字钟是一种计时装置,不仅能替代指针式钟表,还可以运用到定时控制、自动计时及时间程序控制等方面,应用广泛。 【关键词】 石英晶振、分频器、计数器、译码器、七段译码显示器、校准、整点报时。 第一章数字电子钟总体方案 1.1数字电子钟总体方案的确定 数字电子钟组成一般由振荡器、分频器、计数器、译码器及显示器等几部分组成。石英振荡器产生的时标信号送到分频器,分频电路将时标信号分成秒脉冲,秒脉冲送入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。“秒”的显示由两级计数器和译码器组成的六十进制计数器电路实现,“分“的显示电路与“秒”相同。“时”的显示由两极计数器和译码器组成的二十四进制计数器电路实现。 / 秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态0进行七段显示译码器译码,通过六位七段译码显示器显示出来。整点报时电路根据计时系统的输出状态产生一脉冲信号,然后去触发一音频发生器实现报时。校时电路时用来对“时”、“分”显示数字进行校对调整的。

数字时钟设计实验报告

电子课程设计题目:数字时钟

数字时钟设计实验报告 设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 电路框图: 图一 数字时钟电路框图 电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 振荡器: 通常用555定时器与RC 构成的多谐振荡器,经过调整输出1000Hz 脉冲。 分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 标准秒脉冲。其电路图如下: 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三60进制--秒计数电路 60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位

大学生单片机实习总结

大学生单片机实习总结 ,我们将为大家提供关于20XX年实习报告的信息,敬请期待! :xx :实习报告范文| 实习报告模板| 会计实习报告 | 大学生实习报告 | 顶岗实习报告 | 金工实习报告 | 毕业实习报告 | 土木工程实习报告 | 生产实习报告 |实习周记 | 3000字范文 大学生单片机实习总结 随着电子技术的发展,特别是随着大规模集成电路的产生,给人们的生活带来了根本性的变化,如果说微型计算机的出现使现代的科学研究得到了质的飞跃,那么可编程控制器的出现则是给现代工业控制测控领域带来了一次新的革命。在现代社会中,温度控制不仅应用在工厂生产方面,其作用也体现到了各个方面。本学期我们就学习了单片机这门课程,感觉是有点难呢。也不知道整个学习过程是怎么过来得,可是时间不等人。 时光飞逝,一转眼,一个学期又进尾声了,本学期的单片机实习课题也在一周内完成了。俗话说“好的开始是成功的一半”。说这次实习,我认为最重要的就是做好程序调试,认真的研究老师给的题目。其次,老师对实验的讲解要一丝

不苟的去听去想,因为只有都明白了,做起产品就会事半功倍,如果没弄明白,就迷迷糊糊的去做,到头来一点收获也没有。最后,要重视程序的模块化,修改的方便,也要注重程序的调试,掌握其方法。 虽然这次的实习算起来在实验室的时间只有几天,不过因为我们都有自己的实验板,所以在宿舍里做实验的时间一定不止三天。硬件的设计跟焊接都要我们自己动手去焊,软件的编程也要我们不断的调试,最终一个能完成课程设计的劳动成果出来了,很高兴它能按着设计的思想与要求运动起来。 当然,这其中也有很多问题,第一、不够细心比如由于粗心大意焊错了线,由于对课本理论的不熟悉导致编程出现错误。第二,是在学习态度上,这次课设是对我的学习态度的一次检验。对于这次单片机综合课程实习,我的第一大心得体会就是作为一名工程技术人员,要求具备的首要素质绝对应该是严谨。我们这次实习所遇到的多半问题多数都是由于我们不够严谨。第三,在做人上,我认识到,无论做什么事情,只要你足够坚强,有足够的毅力与决心,有足够的挑战困难的勇气,就没有什么办不到的。 通过这次单片机实习,我不仅加深了对单片机理论的理解,将理论很好地应用到实际当中去,而且我还学会了如何去培养我们的创新精神,从而不断地战胜自己,超越自己。

数字电子钟实习报告

数字电子钟课程设计 学院:电气信息工程学院 专业、班级:自动化11-02 姓名:周振

目录 摘要. (3) 1. 设计目的. (4) 2. 设计任务. (5) 3. 数字电子钟的电路系统设计. (6) 3.1 设计原理 (6) 3.2 方案确定 (6) 3.2.1 设计方案 (7) 3.2.2 设计方案的确定 (7) 3.3 数字电子钟的电路设计. (8) 3.3.2 整点报时电路的设计 (8) 错误!未定义书签 3.3.4 秒信号发生器的设计 (9) 3.3.5 译码驱动显示电路 (10) 3.3.6 数字电子钟的整体电路 (10)

4. 电路的装配过程 . (11) 4.1 电路模拟仿真调试 (11) 4.2 电路焊接 (11) 4.3 实物的实际调试 (11) .................. 错误 ! 未定义书签 .................. 错误 ! 未定义书签 4.4 误差分析 (12) 5. 课程设计的收获、体会和建议 . (12) 参 考 文 献. (13) 附录 2 摘要 电子数字钟是一种用数字电路技术实现时、分、秒计时的装置,与 机械式时钟相比具有更高的准确性和直观性,因此得到了广泛的使用。 电子数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电 路和时序电路。因此,此次设计与制做电子数字钟就是可以了解电子数 字钟的原理,学会制作电子数字钟。通过电子数字钟的制作能进一步的 了解各种在制作中用到的中小规模集成电路的作用及实用方法,通过附录 1 ......................................... 错误!未定义书签 14

数字钟电子电路实训实验报告

实习(实训)总结报告的写法及基本要求 一、实习(实训)报告一般由标题和正文两部分组成 1.标题:标题可以采取规范化的标题格式,基本格式为“关于×××的实习(实训)报告”,用三号黑体字。 2.正文:正文一般分前言、主体、结尾三部分。 (1)前言:主要描述本次实习(实训)的目的意义、大纲的要求及接受实习(实训)任务等情况。 (2)主体:实习(实训)报告最主要的部分,详述实习(实训)的基本情况,包括:项目、内容、安排、组织、做法,以及分析通过实习(实训)经历了哪些环节,接受了哪些实践锻炼,搜集到哪些资料,并从中得出一些具体认识、观点和基本结论。 (3)结尾:可写出自己的收获、感受、体会和建议,也可就发现的问题提出解决问题的方法、对策;或总结全文的主要观点,进一步深化主题;或提出问题,引发人们的进一步思考等。 二、对实习(实训)报告的要求 1.按照大纲要求在规定的时间完成实习(实训)报告,报告内容必须真实,不得抄袭。学生应结合自己所在工作岗位的工作实际写出本行业及本专业(或课程)有关的实习(实训)报告。 2.实习(实训)报告撰写过程中需接受指导教师的指导,学生应在实习(实训)结束之前将成稿交实习(实训)指导教师。 三、实习(实训)考核的主要内容 1.平时表现:实习(实训)出勤和实习(实训)纪律的遵守情况;实习(实训)现场的表现和实习(实训)笔记的记录情况、笔记的完整性。 2.实习(实训)报告:实习(实训)报告的完整性和准确性;实习(实训)的收获和体会。 3.答辩:在生产现场随机口试;实习(实训)结束时抽题口试。

桂林航天工业学院 学生实习(实训)总结报告 院系(部):专业班级: 学生姓名:学号: 实习(实训)地点: 课程名称:电子电路实验 报告日期:2018年7月6日 指导教师评语: 成绩(五级记分制): 指导教师(签字):

基于Multisim的数字电子时钟设计报告

大学大数据与信息工程学院 基于Multisim的数字电子时钟设计报告 学院:大数据与信息工程学院 专业:电子科学与技术 班级:151 学号:1500890151 学生:宋磊 指导教师:郭祥 2017年7月20日

目录 一、设计目的与要求 (1) 1.1设计目的 (1) 1.2设计要求 (1) 二、基本元器件的选择与原理 (1) 2.1 555定时器 (1) 2.2 74LS390D计数器 (2) 2.2.1 分、秒位实现六十进制 (3) 2.2.2 小时位实现二十四进制 (3) 2.2.3 星期位实现七进制 (4) 2.3 显示器 (5) 2.4 其他元器件 (6) 三、虚拟实验平台与仿真 (6) 3.1 手动校准功能的实现 (6) 3.2 整点报时功能的实现 (6) 3.3 设计从设计从220V交流~6V直流 (7) 3.4 数字电子时钟功能的实现 (7) 附录设计总结与心得体会 (9)

一、设计目的与要求 1.1设计目的 用中、小规模集成电路设计日、时、分、秒的电子钟。 1.2设计要求 1)用555定时器产生1Hz秒信号; 2)秒、分为00~59六十进制; 3)时为00~23二十四进制; 4)星期为1~7七进制; 5)日、时、分可手动校准; 6)具有整点报时功能; 7)设计从220V交流~6V直流。 二、基本元器件的选择与原理 2.1 555定时器 单稳态触发器和施密特触发器主要用于脉冲的整形,多谐振荡器则用于产生脉冲信号。而利用555集成定时器,可以方便地构成施密特触发器、单稳态触发器和多谐振荡器,并且带负载能力较强。

此次数字电子钟的计数脉冲则由多谐振荡器提供。脉冲频率取决于555定时器电路。 在Multisim13下构建多谐振荡器,如图2.1: 图2.1 振荡频率:f=1.43/[(R9+2R10)C1] 振荡周期:T=1/f 2.2 74LS390D计数器 计数器——用于统计输入脉冲CP个数的电路。 本次设计统一采用74LS390D计数芯片,74LS390D是一种双四位十进制计数器。其功能表如表2.1所示。 表2.1 BCD计数顺序

单片机毕业实习报告

单片机毕业实习报告 篇一:单片机实习报告 毕业实习报告 实习单位: 实习时间:3月1日至 3月21日 学院(系): 电气工程与自动化学院 专业: 电子信息科学与技术 学生姓名: 学号: XX 年 3月 26日 目录 报告正文................................................. ................................................... (3) 1 实习目的:............................................... (3) 2 实习单位:............................................... (3)

3 实习内容:............................................... (3) 收集资料................................................. .. (3) 设计初步方案................................................. (4) 撰写《毕业实习报告》............................................... . (4) 4 实习过程:............................................... (4) 熟悉单片机知识阶段................................................. (4) 单片机的概述.................................................

数字电子时钟实训报告

湖南乂塑职坐就求修院 电子钟实训报告 课程名称:电气自动化 班级:电气S09-4班 指导老师:刘云芳 姓名:谷宇 完成基于CPLD的多功能数字钟设计。硬件界面为一个8位的LED 数码管,时间显示方式为8位同时显示,即显示状态为:88-88-88。显示的时间制为24小时制。 1. 设计一个具有“时”、“分”、“秒”的十进制显示计时器,要求为24小时循环。.具有校时、校分功能。 2. 学会怎么样去焊接元器件较多的电子产品。 3. 了解各集成块的功能,进一步加强该元件的使用。 二.实训要求: 1数字钟的功能要求;准确计时,以数字形式显示时,分,秒的时间,

小时要 数字钟主体电路元器件清单求为“ 24翻1,”,分和秒的计时要求为60进位, 要求校正时间电路。 2直流稳压电源的功能要求:输入220v交流电压,输出+5v直流电压。 三.电路图的绘制: 、秒、分为00?59六十进制计数器。 3、时为00?23二十四进制计数器。 4、可手动校正:能分别进行分、时的校正。只要将幵关置于手动位置,可分别对分、时进行手动脉冲输入调整或连续脉冲输入校正础上绘制的,它是电路组装、调试和维修的依据。绘制电路图时,注意以下几点: 1、元器件布局合理、排列均匀、图面清晰、便于阅读。 2、集成电路的型号不要标错,引脚要标明,不要遗漏。 3、线条要清晰,明了;在电气连接点的地方要注意区分。 基本设计思路:通过运用CD4518芯片来构成两个60进制的计数器做时钟的秒、分电路和一个24进制的计数器做“时”电路;然后用CD4543芯片来将二进制数解码驱动二极管发亮。前提中,运用4060和4040芯片分频来产生秒脉冲信号,和调时 的目的

相关文档