文档库 最新最全的文档下载
当前位置:文档库 › Multisim之移位寄存器

Multisim之移位寄存器

Multisim之移位寄存器
Multisim之移位寄存器

实验3.7 移位寄存器研究

一、实验目的

1、熟悉Multisim7软件的使用方法。

2、熟悉移位寄存器的工作原理及调试方法。

3、掌握移位寄存器的典型应用。

二、虚礼实验仪器及器材

双踪示波器、信号发生器、交流毫伏表、数字万用表等仪器等。

三、实验内容:

1、逻辑功能验证。

1)在仿真平台画出如下电路图:

2)开启仿真开关,根据74LS194功能表3.7.1,用J1实现“异步清0”功能;再根据“并行输入”功能要求,将S1、S0使能端置于“1、1”状态,A、B、C、D数据输入端分别设为“1011”,观察CLK端加单脉冲CP时,输出端指示灯变化情况,并填写表3.7.2。

根据74LS194功能表3.7.1“保持”功能,观察单脉冲作用时输出端变化情况,并填表3.7.3.

将74LS194的QA端与SL端相连。在S0=1、S1=1的情况下,先给QA~QD送数“0011”,再启动仿真开关,然后根据74LS194功能表3.7.1“左移”功能要求(即SL=0),观察当CP脉冲作用时输出端指示灯变化情况,并填写表3.7.4;再给QA~QD送数“1100”,然后根据74LS194功能表3.7.1“左移”功能要求(即SL=1),观察当CP脉冲作用时输出端指示灯变化情况,并填写表3.7.5。

将74LS194的QA端与SR端相连。仿照左移功能步骤观察当CP脉冲作用时输出端指示灯变

化情况,并填写表3.7.6和表3.7.7。

2、移位寄存器型计数器

1)重新在电子平台上,用四位双向移位寄存器74LS194构成七进制计数器,其线路如下图所示:

2)双击虚拟示波器XSC1图标,观察QD和CP波形,将它们描绘下来,并说明七进制原理。

虚拟示波器面板设置参阅下图

multisim常见元件

multisim元件库 1.点击“放置信号源”按钮,弹出对话框中的“系列”栏如图2所示。 图2 (1). 选中“电源(POWER_SOURCES)”,其“元件”栏下内容如图3所示: 图3 (2). 选中“信号电压源(SIGNAL_VOLTAGE_SOURCES)”,其“元件”栏下内容如图4所示:

图4 (3). 选中“信号电流源(SIGNAL_CURRENT_SOURCES)”,其“元件”栏下内容如图5所示: 图5 (4). 选中“控制函数块(CONTROL_FUNCTION_BLOCKS)”,其“元件”栏下内容如图6所示: 图6 (5). 选中“电压控源(CONTROLLED_VOLTAGE_SOURCES)”,其“元件”栏下内容如图7所示:

图7 (6). 选中“电流控源(CONTROLLED_CURRENT_SOURCES)”,其“元件”栏下内容如图8所示: 图8 2. 点击“放置模拟元件”按钮,弹出对话框中“系列”栏如图9 所示。 图9 (1). 选中“模拟虚拟元件(ANALOG_VIRTUAL)”,其“元件”栏中仅有虚拟比较器、三端虚拟运放和五端虚拟运放3个品种可供调用。 (2). 选中“运算放大器(OPAMP)”。其“元件”栏中包括了国外许多公司提供的多达4243种各种规格运放可供调用。 (3). 选中“诺顿运算放大器(OPAMP_NORTON)”,其“元件”栏中有16种规格诺顿运放可供调用。 (4). 选中“比较器(COMPARATOR)”,其“元件”栏中有341种规格比较器可供调用。 (5). 选中“宽带运放(WIDEBAND_AMPS)”其“元件”栏中有144种规格宽带运放可供调用,宽带运放典型值达100MHz,主要用于视频放大电路。

multisim中信号发生器

关于信号发生器正确使用.

当函数发生器接正负两端时,结果如下:

做仿真时,有些疑问,为什么放大时,增益为什么不是2,或者接近2(只对本电路来说)按照信号与系统来说,正弦信号经过一个线性稳定系统,其输出应为同频率,相位不同,幅值不同(由线性系统的传递函数决定)的正弦信号。可是放大器工作在线性区,其传递函数与所包含的S基本没有关系(电容所对的传递函数1/sc 电感对应传递函数Ls,电阻对应的只是他们的阻值,不含s项)。其增益应为(近似)放大器的放大倍数。可是第二次做的结果不是放大器放大器的倍数,而是放大器放大倍数的两倍(近似)。今天分析可知信号发生器的接法不同导致出现了这样的结果。 正确的使用方法如下: 1:当外接+和GND端子时,输出正极性信号,幅值等于信号发生器的有效值。 2:当外接﹣和GND端子时,输出负极性信号,幅值等于信号发生器的有效值。 3:当外接+和﹣端子时,输出幅值等于信号发生器的有效值的两倍。 4:同时接+ GND和﹣三个端子时,输出两个幅度相等极性相反的两个信号。 兰亭序 永和九年,岁在癸丑,暮春之初,会于会稽山阴之兰亭,修禊事也。群贤毕至,少长咸集。此地有崇山峻岭,茂林修竹;又有清流激湍,映带左右,引以为流觞曲水,列坐其次。虽无丝竹管弦之盛,一觞一咏,亦足以畅叙幽情。是日也,天朗气清,惠风和畅,仰观宇宙之大,俯察品类之盛,所以游目骋怀,足以极视听之娱,信可乐也。 夫人之相与,俯仰一世,或取诸怀抱,晤言一室之内;或因寄所托,放浪形骸之外。虽取舍万殊,静躁不同,当其欣于所遇,暂得于己,快然自足,不知老之将至。及其所之既倦,情随事迁,感慨系之矣。向之所欣,俯仰之间,已为陈迹,犹不能不以之兴怀。况修短随化,终期于尽。古人云:“死生亦大矣。”岂不痛哉! 每览昔人兴感之由,若合一契,未尝不临文嗟悼,不能喻

最新模拟电子电路multisim仿真(很全 很好)资料

仿真 1.1.1 共射极基本放大电路 按图7.1-1搭建共射极基本放大电路,选择电路菜单电路图选项(Circuit/Schematic Option )中的显示/隐藏(Show/Hide)按钮,设置并显示元件的标号与数值等 。 1.静态工作点分析 选择分析菜单中的直流工作点分析选项(Analysis/DC Operating Point)(当然,也可以使用仪器库中的数字多用表直接测量)分析结果表明晶体管Q1工作在放大状态。 2.动态分析 用仪器库的函数发生器为电路提供正弦输入信号Vi(幅值为5mV,频率为10kH),用示波器观察到输入,输出波形。由波形图可观察到电路的输入,输出电压信号反相位关系。再一种直接测量电压放大倍数的简便方法是用仪器库中的数字多用表直接测得。 3.参数扫描分析 在图7.1-1所示的共射极基本放大电路中,偏置电阻R1的阻值大小直接决定了静态电流IC的大小,保持输入信号不变,改变R1的阻值,可以观察到输出电压波形的失真情况。选择分析菜单中的参数扫描选项(Analysis/Parameter Sweep Analysis),在参数扫描设置对话框中将扫描元件设为R1,参数为电阻,扫描起始值为100K,终值为900K,扫描方式为线性,步长增量为400K,输出节点5,扫描用于暂态分析。 4.频率响应分析 选择分析菜单中的交流频率分析项(Analysis/AC Frequency Analysis)在交流频率分析参数设置对话框中设定:扫描起始频率为1Hz,终止频率为1GHz,扫描形式为十进制,纵向刻度为线性,节点5做输出节点。 由图分析可得:当共射极基本放大电路输入信号电压VI为幅值5mV的变频电压时,电路输出中频电压幅值约为0.5V,中频电压放大倍数约为-100倍,下限频率(X1)为14.22Hz,上限频率(X2)为25.12MHz,放大器的通频带约为25.12MHz。 由理论分析可得,上述共射极基本放大电路的输入电阻由晶体管的输入电阻rbe限定,输出电阻由集电极电阻R3限定。 1.1.2共集电极基本放大电路(射极输出器)

模拟电子技术课程设计(Multisim仿真).

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

实验八multisim电路仿真

电子线路设计软件课程设计报告 实验内容:实验八multisim电路仿真 一、验目的 1、进一步熟悉multisim的操作和使用方法 2、掌握multisim做电路仿真的方法 3、能对multisim仿真出的结果做分析 二、仿真分析方法介绍 Multisim10为仿真电路提供了两种分析方法,即利用虚拟仪表观测电路的某项参数和利用Multisim10 提供的十几种分析工具,进行分析。常用的分析工具有:直流工作点分析、交流分析、瞬态分析、傅立叶分析、失真分析、噪声分析和直流扫描分析。利用这些分析工具,可以了解电路的基本状况、测量和分析电路的各种响应,且比用实际仪器测量的分析精度高、测量范围宽。下面将详细介绍常用基本分析方法的作用、分析过程的建立、分析对话框的使用以及测试结果的分析等内容 1、直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 执行菜单命令Simulate/Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图所示。直流工作点分析对话框包括3页。

Output 页用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再点击Plot during simulation 按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。Analysis Options页 点击Analysis Options按钮进入Analysis Options页,其中排列了与该分析有关的其它分析选项设置,通常应该采用默认的 Summary页

Multisim数字电路仿真快速上手教程

Multisim快速上手教程 每一次数电实验都要疯了有木有!!!全是线!!!全是线!!!还都长得要命!!!完全没地方收拾啊!!!现在数电实验还要求做开放实验,还要求最好先仿真!!!从来没听说过仿真是个什么玩意儿的怎么破!!! 以下内容为本人使用仿真软件的一些心路历程,可供参考。 所谓仿真,以我的理解,就是利用计算机强大的计算能力,结合相应的电路原理(姑且理解为KVL+KCL)来对电路各时刻的状态求解然后输出的过程。相较于模拟电路,数字电路的仿真轻松许多,因为基本上都转化为逻辑关系的组合了。有人用minecraft来做数字电路,都到了做出8bitCPU的水平(https://www.wendangku.net/doc/177470868.html,/v_show/id_XMjgwNzU5MDUy.html、https://www.wendangku.net/doc/177470868.html,/v_show/id_XNjEwNTExODI4.html)。这个很神奇。 以下进入正文 首先,下载Multisim安装程序。具体链接就不再这里给出了(毕竟是和$蟹$版的软件),可以到BT站里搜索,有一个Multisim 12是我发的,里面有详细的安装说明,照着弄就没问题了。 好,现在已经安装上Multisim 12了。 然后运行,在Circuit Design Suite12.0里,有一个multisim,单击运行。 进去之后就是这样的。 那一大块白的地方就是可以放置元件的地方。 现在来以一个简单的数字逻辑电路为例:

菜单栏下一排是这些东西,划线的是数字电路仿真主要用得上的元件。 来个7400吧 点击TTL那个图标(就是圈里左边那个)。出来这样一个东西: 红圈里输入7400就出来了,也可以一个一个看,注意右边“函数”栏目下写的“QUAD 2-INPUT NAND”即是“四个双输入与非门”的意思。 点击确认,放置元件。 A、B、C、D在这里指一块7400里的四个双输入与非门,点击即可放置。 看起来很和谐,那就做个RS触发器吧。 这里输出用的是一种虚拟器件PROBE,在Indicators组,图标就是个数码管的那个。功能相当于实验箱上那些LED,也是高电平就点亮。元件旋转方向的方法是选中元件然后按Ctrl+R(otate)。还可以选中元件后点击右键,选择“水平翻转”等。

(完整版)Multisim10仿真软件简介与使用

Multisim10仿真软件简介与使用 Multisim10.0是加拿大交互图像技术公司推出的最新电子仿真软件,是Multisim系列的改进版。该版使文件管理和操作更方便,元件调用更便捷,元件的标注更加直观实用,增加了仿真的真实感,使虚拟的电子实验平台更加接近实际的实验平台。Multisim10.0是一种在电子技术界广为应用的优秀计算机仿真设计软件,被誉为“计算机里的电子实验室”。 1.Multisim10.0的基本操作界面 Multisim10.0软件以图形界面为主,具有一般Windows应用软件的风格,可以使用户自如使用。启动Multisim10.0后,出现如图3-4-1界面。 仪器仪表栏菜单栏工具栏 仿真电源开关元器件栏 状态栏 电路工作区 图3-4-1 主界面窗口 (1)菜单栏 Multisim10.0的菜单包括主菜单、一级菜单和二级菜单,通过菜单可以对Multisim10.0的所有功能进行操作。如图3-4-2所示。 图3-4-2 主菜单 (2)工具栏 Multisim10.0提供了多种工具栏,如系统工具栏、主工具栏、元件工具栏、仪表工具栏。 ①系统工具栏提供了文档常用的新建文件、打开文件、保存文件、打印、放大、缩 小等操作。如图3-4-3所示。 图3-4-3 系统工具栏 ②元件工具栏提供了从Multisim元件数据库中选择、放置元件到原理图中的按钮。如图3-4-4所示。从左到右元件库依次为电源库、基本元件库、二极管库、晶体管库、模拟元件库、TTL库、CMOS库、其它数字元件库、数模混合元件库、指示器库、电源器件库、混合项元件库、高级的外设器件库、射频元件库、电气元件库、MCU器件库、设置层次库、放置总线库。

multisim10示波器的使用方法

共基极放大器 电子仿真软件MultiSIM 9中的虚拟示波器使用方法 默认分类 2009-04-11 12:59 阅读330 评论0 字号:大中小 在电子仿真软件MultiSIM 9中,除了虚拟双踪示波器和虚拟四踪示波器以外,还有两台高性能的先进示波器,它们分别是:跨国“安捷伦”公司的虚拟示波器“Agilent54622D”和美国“泰克”公司的虚拟数字存贮示波器“TektronixTDS2024”。本刊06年第五期曾对Multisim7中的安捷伦虚拟示波器设置和显示有过简单介绍,读者可以参阅该文相关内容。本文主要介绍安捷伦虚拟示波器的一些特殊其它功能和美国“泰克” 公司的虚拟数字存贮示波器这两台高档次的示波器使用方法。 一、安捷伦虚拟示波器“Agilent54622D”的使用方法举例 Agilent54622D虚拟示波器的带宽为100MHz,具有两个模拟通道和16个逻辑通道。图一是它的放大面板图,它的各个开关、按钮及旋钮的排列和调节都和实物仪器完全一样,我们在自己的电脑里也能享受到使用高档次测量仪器的愉悦,且没有损坏仪器的担忧。

图一 一、显示基本波形操作(这里以模拟通道1为例说明) 首先在电子仿真软件MultiSIM 9电子平台上调出安捷伦虚拟函数信号发生器和安捷伦虚拟示波器各一台。并按图二连好电路;双击安捷伦虚拟函数信号发生器图标“XFG1”打开电源开关,不作任何设置使用它的默认值,即:频率1kHz,幅值100mVpp的正弦波(可参阅上期介绍)。

图二 然后双击安捷伦虚拟示波器图标“XSC1”,打开它的电源开关,见图一中鼠标手指所示。 打开仿真开关,这时可以从安捷伦虚拟示波器屏幕上看到一条水平细红线。在放大面板处于当前窗口的前提下,将鼠标移至“Y轴量程调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以逐渐放大正弦波信号幅度,且屏幕上方“Y轴量程调节指示”数字在减小; 将鼠标移至“X轴时间调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以使正弦波信号展宽,且屏幕上方“X轴时间量程指示”数字在减小; 将鼠标移至屏幕左下角“波形亮度调节”(也可认为是在调整聚焦)旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以逐渐加粗正弦波信号波形; 将鼠标移至屏幕左下角“Y轴移位调节”旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以将正弦波向下移动,相当于真实示波器的Y轴移位旋钮; 经以上调整结果,从屏幕上可以看到如图三所示波形,从图上我们通过屏幕上方显示的数据可以读出1kHz正弦波的周期是1mS、幅度为100mV,与安捷伦虚拟函数信号发生器设置相符,波形中心离开X 轴为50mV,屏幕上的波形已被适当加粗。

multisim 电路仿真 课程设计

4.1 仿真设计 1、用网孔法和节点法求解电路。 如图4.1-1所示电路: 3Ω (a)用网孔电流法计算电压u的理论值。 (b)利用multisim进行电路仿真,用虚拟仪表验证计算结果。(c)用节点电位法计算电流i的理论值。 (d)用虚拟仪表验证计算结果。 解: 电路图: (a) i1=2 解得 i1=2 5i2-31-i3=2 i2=1 i3=-3 i3=-3 u=2 v (b)如图所示: (c)列出方程 4/3 U1- U2=2 解得 U1=3 v U2=2 v 2A1Ω _ + 1Ω 2V - 3A 图4.1-1 i

2U 1- U 2=2 i=1 A 结果:计算结果与电路仿真结果一致。 结论分析:理论值与仿真软件的结果一致。 2、叠加定理和齐次定理的验证。 如图4.1-2所示电路: (a)使用叠加定理求解电压u 的理论值; (b)利用multisim 进行电路仿真,验证叠加定理。 (c)如果电路中的电压源扩大为原来的3倍,电流源扩大为原来的2倍,使用齐次定理,计算此时的电压u ; (d)利用multisim 对(c )进行电路仿真,验证齐次定理。 电路图: (a ) I 1=2 7 I 2-2 I 1- I 3=0 3 I 3- I 2-2 I 4=0 解得 U 1=7(V ) I 4=-3 U 1 U 1=2(I 1- I 2) 如图所示电压源单独作用时根据网孔法列方程得: 3 I 1-2 I 2- I 3= 4 I 2=-3 U 2 7 I 3 - I 1=0 解得 U 2=9(V ) U 2=4-2 I 3 所以 U= U 1+ U 2=16(V ) (b )如图所示。 2Ω 1Ω 2Ω 4Ω 2A 3u + 4V - + u - 图4.1-2

multisim仿真说明手册

Multisim7仿真分析命令介绍 1. 直流工作点分析(DC Operating Point Analysis) 直流工作点分析是对电路进行直流分析,分析完毕后给出电路中所有结点的电压和所有直流电压源中的电流。 进行直流工作点分析时,系统会自动假定电路的交流信号为0,且电路中的电容开路,电感短路。 以单管共射放大电路为例介绍如何用直流工作点分析得到电路中部分结点的电压和流过元器件内部结点的电流。 单管共射放大电路 (1)电路结点标注 点击主菜单Options->Preferences,选中circuit页show区中,点击OK按钮返回电路图窗口。

Preferences窗口的Circuit页 (2)仿真方式选择 点击主菜单Simulate->Analysis-> DC Operating Point Analysis。 DC Operating Point Analysis窗口

(3)输出变量选择 Output Variables页用来选定输出分析的变量。 在DC Operating Point Analysis窗口的Output variables页窗口中,左边Variables in circuit区中给出了针对电路中已标注的所有结点,该分析方法能够分析计算的所有变量。可以通过选中需要分析计算的变量点击Add的方法将想要观测的变量添加到右边Select variables for区中,用于软件后台的分析计算。 选择输出变量 其中,$1表示结点1的电压,vv2#branch表示流经电源V2的电流。(4)内部结点添加 有些情况下,元器件有内部结点的存在(如:三极管),若想分析计算元器件内部结点的电流电压参数,可选择左边Variables in circuit区下边的 ,在more options中选择添加元器件模型和想要分析计算的参数。

Multisim仿真应用手册_92309562

电子电路仿真应用手册 2009年6月 前言 本手册基于Multisim V7仿真环境,从最基本的仿真电路图的建立开始,结合实际的例子,对模拟和数字电路中常用的测试方法进行介绍。这些应用示例包括:常用半导体器件特性曲线的测试、放大电路静态工作点和动态参数的测试、电压传输特性的测试、波形上升时间的测试、逻辑函数的转换与化简、逻辑分析仪的使用方法等。 更高版本的Multisim仿真环境与之类似。此外,本手册侧重于测试方法的介绍,仅对主要步骤进行说明,如碰到更细节的问题,可参阅《Multisim V7教学版使用说明书》或其它帮助文档。 目录 1 Multisim主界面简介 (2) 2仿真电路图的建立 (2) 3常用半导体器件特性曲线的测试方法 (3) 3.1 晶体三极管特性曲线的测试 (3) 3.1.1 IV分析仪测试方法 (3) 3.1.2 直流扫描分析方法 (3) 3.2 结型场效应管特性曲线的测试 (4) 3.2.1 IV分析仪测试方法 (4) 3.2.2 直流扫描分析方法 (4) 3.3 二极管、稳压管伏安特性曲线的测试 (5) 4放大电路静态工作点的测试方法 (5) 4.1 虚拟仪器测试方法 (5) 4.2 静态工作点分析方法 (5) 5放大电路动态参数的测试方法 (6) 5.1 电压放大倍数的测试 (6) 5.1.1瞬态分析测试方法 (6) 5.1.2虚拟仪器测试方法 (6) 5.2 输入电阻的测试 (6) 5.3 输出电阻的测试 (7) 5.4频率响应的测试 (7) 5.4.1交流分析方法 (7) 5.4.2 波特图仪测试方法 (7) 6电压传输特性的测试方法 (8) 7上升时间的测试方法 (9) 8逻辑函数的转换与化简 (10) 8.1 逻辑函数转换为真值表 (10) 8.2 真值表转换为逻辑函数 (10) 9逻辑分析仪的使用方法 (11)

Multisim电路仿真

Multisim电路仿真 示例1.直流电路分析 步骤一:文件保存 打开Multisim 软件,自动产生一个名为Design1的新文件。 打开菜单File>>Save as…,将文件另存为“CS01”(自动加后缀) 步骤二:放置元件 打开菜单Place>>Component… 1.选择Sources(电源)Group (组),选择POWER_SOURCES(功率源)Family(小组),在元件栏中用鼠标双击DC_POWER,将直流电源放置到电路工作区。 说明:所有元件按Database -> Group -> Family 分类存放

2.继续放置元件: Sources Group –>POWER_SOURCES Family->ROUND(接地点 Basic Group->RESISTOR Family(选择5个电阻) 3.设定元件参数。采用下面两种方式之一 1)在放置元件时(在一系列标准值中)选择; 2)在工作区,鼠标右键点击元件,在Properties (属性)子菜单中设定。 步骤三.根据电路图连线 用鼠标拖动元件到合适位置,如果有必要,鼠标右键点击元件,可对 其翻转(Flip)或旋转(Rotate)。连线时先用鼠移至一个元件的接线端, 鼠标符号变成叉形,然后拖动到另一结点,点击右键确认连线。 若需显示全部节点编号,在菜单 Option>>Sheet Properties>>Sheet visibility 的Net names 选板中选中show all。

步骤四.电路仿真 选择菜单Simulate>>Analyses>>DC operating point…(直流工作点分析) 在DC operating point analysis窗口中,选择需要分析的变量(节点电压、元件电流或功率等)。

multisim使用手册

Multisim使用手册 Multisim是一种EDA仿真工具,它为用户提供了丰富的元件库和功能齐全的各类虚拟仪器。 A1 Multisim 8 基本界面 启动Windows“开始”菜单“所有程序”中的Electronics Workbench/Multisim 8,打开Multisim 8的基本界面如图A1-1所示。 Multisim 8的基本界面主要由菜单栏、系统工具栏、快捷键栏、元件工具栏、仪表工具栏、连接https://www.wendangku.net/doc/177470868.html,按钮、电路窗口、使用中的元件列表、仿真开关(Simulate)和状态栏等项组成。 图A1-1 Multisim 8的基本界面 A1.1 菜单栏 与所有Windows应用程序类似,菜单中提供了软件中几乎所有的功能命令。Multisim 8菜单栏包含着11个主菜单,如图A1-2所示,从左至右分别是File(文件菜单)、Edit(编辑菜单)、View(窗口显示菜单)、Place(放置菜单)、Simulate(仿真菜单)、Transfer(文件输出菜单)、Tools(工具菜单)、Reports(报告菜单)、Options(选项菜单)、Window(窗口菜单)和Help(帮助菜单)等。在每个主菜单下都有一个下拉菜单。

A1-2 菜单栏 1.File(文件)菜单 主要用于管理所创建的电路文件,如打开、保存和打印等,如图A1-3所示。 图A1-3 File菜单 New:提供一个空白窗口以建立一个新文件。 Open:打开一个已存在的*.ms8、*.ms7、*.msm、*.ewb或*.utsch等格式的文件。 Close:关闭当前工作区内的文件。 Save:将工作区内的文件以*.ms8的格式存盘。 Save As:将工作区内的文件换名存盘,仍为*.ms8格式。 Print..:打印当前工作区内的电路原理图。 Print Preview:打印预览。 Print Options:打印选项,其中包括Printer Setup(打印机设置)、Print Circuit Setup(打印电路设置)、Print Instruments(打印当前工作区内的仪表波形图)。 Recent Circuits:最近几次打开过的文件,可选其中一个打开。 New Project、Open Project、Save Project和Recent Projects命令是指对某些专题文件进行的处理,仅在专业版中出现,教育版中无功能。 2.Edit(编辑)菜单 主要用于在电路绘制过程中,对电路和元件进行各种技术性处理,如图A1-4所示。

实验1: 电路仿真工具Multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列): 电源组信号源基本器件组

(1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

基于Multisim的电路仿真

模拟电子技术实验《信号放大器的设计》 班级: 姓名: 指导老师: 2013年12月10日至12日

1.实验目的 (1)掌握分立或集成运算放大器的工作原理及其应用。 (2)掌握低频小信号放大电路和功放电路的设计方法。 (4)通过实验培养学生的市场素质,工艺素质,自主学习的能力,分析问题解决问题的能力 以及团队精神。 (5)通过实验总结回顾所学的模拟电子技术基础理论和基础实验,掌握低频小信号放大电路 和功放电路的设计方法 2.实验任务和要求 2.1实验任务 1)已知条件: 信号放大电路由“输入电路”、“差分放大电路”、“两级负反馈放大电路”、“功率放大器”、“扬声器”几部分构成。 图2-1 信号放大器的系统框图 2)性能指标: a)输入信号直接利用RC 正弦波振荡电路产生。 b) 前置放大器: 输入信号:Uid ≤ 10 mV 输入阻抗:Ri ≥ 100 k c) 功率放大器: 最大不失真输出功率:Pomax ≥1W 负载阻抗:RL= 8; 电源电压:+ 5 V ,+ 12V ,- 12V d) 输出功率连续可调 直流输出电压 ≤ 50 mV 信号产生 差分放大 共射级放大 功率放大 负反馈 输出信号

静态电源电流≤100 mA 2.2实验要求 1)选取单元电路及元件 根据设计要求和已知条件,确定信号产生电路、前置放大电路、功率放大电路的方案, 计算和选取单元电路的原件参数。 2)前置放大电路的组装与调试测量前置放大电路的差模电压增益AU、共模电压增益AUc、共模抑制比KCMR、带宽BW、输入电压Ri等各项技术指标,并与设计要求值进行比较。 3)有源带通滤波器电路的组装与调试 测量有缘带通滤波器电路的差模电压增益AUd、带通BW,并与设计要求进行比较。 4)功率放大电路的组装与调试 功率放大电路的最大不失真输出功率Po,max、电源供给功率PDC、输出效率η、直流输 出电压、静态电源电流等技术指标。 5)整体电路的联调 6)应用Multisim软件对电路进行仿真分析。 2.3选用元器件 电容电阻若干、双踪示波器1个、信号发生器一个、交流毫伏表1个、数字万用表等仪器、晶体三极管 2N3906 1个,2N2222A 5个,2N2222 2个,2N3904 2个,1N3064 1个。 3、实验内容 1、总电路图 (一)实验总体电路图

Multisim仿真混沌电路

Multisim仿真—混沌电路 1104620125

Multisim仿真—混沌电路 一、实验目的 1、了解非线性电阻电路伏安特性,以及其非线性电阻特征的测量方法; 2、使用示波器观察混沌电路的混沌现象,通过实验感性地认识混沌现象,理解非线性科学中“混沌”一词的含义;; 3、研究混沌电路敏感参数对混沌现象的影响 二、实验原理 1、蔡氏电路 本实验采用的电路图如图9-16 所示,即蔡氏电路。蔡氏电路是由美国贝克莱大 学的蔡少棠教授设计的能产生混沌行为的最简单的一种自制电路。R 是非线性电 阻元件,这是该电路中唯一的非线性元件,是一个有源负阻元件。电容C2 与电 感L 组成一个损耗很小的振荡回路。可变电阻1/G 和电容C1 构成移相电路。最 简单的非线性元件R 可以看作由三个分段线性的元件组成。由于加在此元件上的 电压增加时,故称为非线性负阻元件。 三、实验内容 为了实现有源非线性负阻元件实,可以使以下电路,采用两个运算放大器(1 个双运放TL082)和六个配置电阻来实现,其电路如图1,这主要是一个正反馈电路,能输出电流以维持振荡器不断震荡,而非线性负阻元件能使振荡周期产生分岔和混沌等一系列非线性现象。 1、实验电路如下图,电路参数:1、电容:100nf 一个,10nf 一个; 2、线性电阻6 个:

200Ω二个,22kΩ二个,2.2kΩ一个,3.3kΩ一个;3、电感:18mH 一个;4、运算放大器:五端运放TL083 二个;5、可变电阻:可变电阻一个;6、稳压电源:9V 的VCC 二个,-9V 的VEE 二个; 图1 选好元器件进行连接,然后对每个元器件进行参数设置,完成之后就可以对 蔡氏电路进行仿真了。双击示波器,可以看到示波器的控制面板和显示界面,在 控制面板上可以通过相关按键对显示波形进行调节。 下面是搭建完电路的截图: 2、将电压表并联进电路,电流表串联进电路可以直接测出加在非线性负阻的电压、电流, U/V I/mA U/V I/mA 12 0.1579 -1 -0.76917 11 2.138 -2 -1.44352 10 4.601 -3 -1.84752

基于Multisim的数字时钟的设计及仿真方案说明书

数字时钟具有“秒”、“分”、“时”的十进制数字显示,能够随时校正分钟和小时,当时钟到整点时能够进行整点报时,还能够进行定时设置。其涉及的电路由6部分组成。(1)能产生“秒脉冲”、“分脉冲”和“时脉冲”的脉冲产生和分频电路;(2)对“秒脉冲”、“分脉冲”和“时脉冲”计数的计数电路;(3)时间显示电路;(4)校时电路;(5)报时电路;(6)定时输入电路和时间比较电路。由脉冲发生器产生信号通过分频电路分别产生小时计数、分计数、秒计数。当秒计数满60后,分钟加1;当分满60后,时加1;当时计数器计满24时后,又开始下一个循环技术。同时,可以根据需要随时进行校时。把定时信号和显示信号通过比较电路确定能否产生定时报警信号。显示信号通过整点译码电路产生整点报警信号。 数字时钟设计与开发以及仿真分析: 系统具有“时”、“分”、“秒”的十进制数字显示,因此,应有计数电路分别对“秒脉冲”、“分脉冲”和“时脉冲”计数;同时应有时间显示电路,显示当前时间;还应有脉冲产生和分频电路,产生“秒脉冲”、“分脉冲”和“时脉冲”[5]。 系统具有校时功能,因此,应有校时电路,设定数字时钟的当前值。 系统具有整点报时功能,因此,应有译码电路将整点时间识别出来,同时应有报时电路。 系统具有定时功能,因此,应有定时输入电路和时间比较电路。 综上考虑,可如图2.1所示设计数字时钟的电路原理结构图。

图2.1 数字时钟的电路原理结构图 如图2.1所示,数字时钟电路有3个开关,它们的功能如下。 (1)S1:S1为瞬态开关,手动输入计数脉冲。 (2)S2:校时/定时/校时选择电路输入选择开关,当开关切换到上触点,为定时输入;当开关切换到中间触点,为校时输入;当开关切换到下触点,为校时选择电路输入。 (3)S3:为计时/校时选择开关,当开关切换到右边触点时,数字时钟为计时状态;当开关切换到左边触点时,数字时钟为校时状态。 左边两个计数器(小时计数、分计数)接收手动输入脉冲,为定时功能设定定时时间。 右边3个计数器(小时计数、分计数、秒计数)接收手动输入或计时脉冲,实现校时和计时功能。 比较电路是将设定的定时时间和当前的时间进行比较,当两者时间相同时,产生定时报警信号,驱动报警电路。 整点译码电路识别整点时间,以产生整点报时信号。 脉冲产生和分频电路产生数字时钟所需的秒脉冲、分脉冲和小时脉冲。 时间显示电路显示当前时间和定时时间。 4.2 数字时钟设计 4.2.1 小时计时电路 小时计时电路如图4.1所示。

multisim常见元件

javascript:showReg(0); multisim元件库 1.点击“放置信号源”按钮,弹出对话框中的“系列”栏如图2所示。 图2 (1). 选中“电源(POWER_SOURCES)”,其“元件”栏下内容如图3所示: 图3 (2). 选中“信号电压源(SIGNAL_VOLTAGE_SOURCES)”,其“元件”栏下内容如图4所示:

图4 (3). 选中“信号电流源(SIGNAL_CURRENT_SOURCES)”,其“元件”栏下内容如图5所示: 图5 (4). 选中“控制函数块(CONTROL_FUNCTION_BLOCKS)”,其“元件”栏下内容如图6所示: 图6 (5). 选中“电压控源(CONTROLLED_VOLTAGE_SOURCES)”,其“元件”栏下内容如图7所示:

图7 (6). 选中“电流控源(CONTROLLED_CURRENT_SOURCES)”,其“元件”栏下内容如图8所示: 图8 2. 点击“放置模拟元件”按钮,弹出对话框中“系列”栏如图9 所示。 图9 (1). 选中“模拟虚拟元件(ANALOG_VI RTUAL)”,其“元件”栏中仅有虚拟比较器、三端虚拟运放和五端虚拟运放3个品种可供调用。 (2). 选中“运算放大器(OPAMP)”。其“元件”栏中包括了国外许多公司提供的多达4243种各种规格运放可供调用。 (3). 选中“诺顿运算放大器(OPAMP_NORTON)”,其“元件”栏中有16种规格诺顿运放可供调用。 (4). 选中“比较器(COMPARATOR)”,其“元件”栏中有341种规格比较器可供调用。 (5). 选中“宽带运放(WIDEBAND_AMPS)”其“元件”栏中有144种规格宽带运放可供调用,宽带运放典型值达100MHz,主要用于视频放大电路。

multisim电路仿真图

一.直流叠加定理仿真 图1.1 图1.2 图1.3 结果分析:从上面仿真结果可以看出,V1和I1共同作用时R3两端的电压为36.666V;V1和I1单独工作时R3两端的电压分别为3.333V和33.333V,这两个数值之和等于前者,符合叠加定理。 二.戴维南定理仿真 戴维南定理是指一个具有直流源的线性电路,不管它如何复杂,都可以用一个电压源UTH与电阻RTH串联的简单电路来代替,就它们的性能而言,两者

是相同的。 图2.1 如上图2.1电路所示,可以看出在XMM1和XMM2的两个万用表的面板上显示出电流和电压值为:IRL=16.667mA,URL=3.333V。 图2.2 如上图2.2所示电路中断开负载R4,用电压档测量原来R4两端的电压,记该电压为UTH,从万用表的面板上显示出来的电压为UTH=6V。

图2.3 在图2.2所测量的基础之上,将直流电源V1用导线替换掉,测量R4两端的的电阻,将其记为RTH,测量结果为RTH=160Ω。 图2.4

在R4和RTH之间串联一个万用表,在R4上并接一个万用表,这时可以读出XMM1和XMM2上读数分别为:IRL1=16.667mA,URL1=3.333V。 结果分析:从图2.1的测试结果和图2.4的测试结果可以看出两组的数据基本一样,从而验证了戴维南定理。 三.动态电路的仿真 1、一阶动态电路: 图3.1 2、二阶动态电路分析: 图3.2 2、二阶动态电路: 图3.3

一阶动态电路中V2随时间的变化可以看出,在0~500ms之间随时间的增大而非线性增大,大于500ms后趋于稳定。 图3.4 当R1电位器阻值分别为500Ω,2000Ω,4700Ω时,输出瞬态波形的变化如上图所示。 四.交流波形叠加仿真 图4.1

Multisim14电子电路仿真方法和样例

Multisim14电子电路仿真方法和样例 2019年9月

本手册基于Multisim14仿真环境,从最基本的仿真电路图的建立开始,结合实际的例子,对模拟和数字电路中常用的测试方法进行介绍。这些应用示例包括:常用半导体器件特性曲线的测试、放大电路静态工作点和动态参数的测试、电压传输特性的测试、波形上升时间的测试、逻辑函数的转换与化简、逻辑分析仪的使用方法等。 此外,本手册侧重于测试方法的介绍,仅对主要步骤进行说明,如碰到更细节的问题,可参阅《Multisim 14教学版使用说明书》或其它帮助文档。

1.MULTISIM14主界面简介 (4) 2.仿真电路图的建立 (4) 3.常用半导体器件特性曲线的测试方法 (5) 3.1晶体三极管特性曲线的测试 (5) 3.1.1 IV分析仪测试方法 (5) 3.1.2 直流扫描分析方法 (5) 3.2结型场效应管特性曲线的测试 (6) 3.2.1 IV分析仪测试方法 (6) 3.2.2 直流扫描分析方法 (7) 3.3二极管、稳压管伏安特性曲线的测试 (7) 4.放大电路静态工作点的测试方法 (7) 4.1虚拟仪器测试方法 (7) 4.2静态工作点分析方法 (8) 5.放大电路动态参数的测试方法 (8) 5.1电压放大倍数的测试 (8) 5.1.1瞬态分析测试方法 (8) 5.1.2 虚拟仪器测试方法 (9) 5.2输入电阻的测试 (9) 5.3输出电阻的测试 (10) 5.4频率响应的测试 (10) 5.4.1交流分析方法 (10) 5.4.2 波特图仪测试方法 (10) 6.电压传输特性的测试方法 (11) 7.上升时间的测试方法 (12) 8.逻辑函数的转换与化简 (13) 8.1逻辑函数转换为真值表 (13) 8.2真值表转换为逻辑函数 (13) 9.逻辑分析仪的使用方法 (14)

Multisim仿真——阶梯波信号发生器

仿真与设计报告 设计课题:阶梯波信号发生器 班级: 学号: 姓名:

阶梯波发生器 一、设计要求 设计一个频率可调、阶数可调的阶梯波发生器,在Multisim中进行仿真分析。实现的功能:频率可调、阶数可调的平滑的阶梯波。性能指标:频率可调范围较大,阶数可调的阶数范围合理,输出平滑无毛刺的阶梯波。 二、设计方案 1、由时钟信号发生器、计数器和D/A转换器组成电路 2、时钟信号发生器的信号频率可调,采用555构成的多谐振荡器 3、计数器的进制数决定阶梯波的阶数,采用有预置数功能的减法计数器,通过置数改变计数器的进制数。 4、D/A转换器将计数器的输出值转换为模拟电压。 5、利用低通滤波器使输出的波形变平滑。 三、电路框图 四、电路原理图及说明

总体电路如图: 图中从左至右依次为:第一部分为由555构成的多谐振荡器,第二部分为有74LS161D 构成的十六进制计数器,第三部分为D/A转换器,第四部分为低通滤波器。 1、由555构成的多谐振荡器电路图(图一):

图一 电源接通后,Vcc通过电阻R1、R2、R3向电容C2充电。当C2上电压达到2/3Vcc 时,THR端触发,比较器翻转,输出V0变低电平,同时放电管导通,电容C2通过R2放电;当C2上电压下降到1/3Vcc时,下比较器工作,输出电压V0变高电平,C2放电终止,重新充电,周而复始,形成矩形波。通过调节电位计R3大小,可改变矩形波频率。图二中频率计示数为R3滑片位于中点时的频率。输出矩形波波形如图三。 图二

图三 2、四位二进制计数器74LS161(图四)(74LS161是常用的四位二进制可预置的同步加法计数器,)

相关文档