文档库 最新最全的文档下载
当前位置:文档库 › 11秋6数控

11秋6数控

11秋6数控
11秋6数控

10/11学年第二学期数控铣床编程与操作期末考试试题

班级_________姓名___________学号____________

一、填空题(每题1分,共16分)

1、数控机床标准M代码中,M03 S1000代表()。

2、数控铣床的F功能常用单位为()。

3、G90与G91指令分别代表什么意思(),()。

4、通常数控系统除了直线插补外,还有()。

5、能消除工件6个自由度的定位方式,称为()。

6、在切削过程中,工件上形成三个表面:①待加工表面;②加工表面;③()。

7、数控铣刀从形状上主要分为()、圆鼻刀和()。

8、刀具位置补偿包括()和()。

9、按照铣床主轴空间位置可分为()和()。

10、用于控制数控机床切削液的开与关指令分别为(),()。

二、单项选择题(每题2分,共40分)

1、辅助功能中与主轴有关的M指令是()。

A、M06

B、 M09

C、M08

D、M05

2、数控铣床的默认加工平面是()。

A、 XY平面

B、 XZ平面

C、 YZ平面

D、不一定

3、FANUC系统中准备功能G81表示()循环。

A、取消固定

B、钻孔

C、镗孔

D、攻螺纹

4、铣削平面零件的外表面轮廓时,常采用沿零件轮廓曲线的延长线切向切入和切出零件表面,以便于()

A、提高效率

B、减少刀具磨损

C、提高精度

D、保证零件轮廓光滑5、工件在装夹时,必须使余量层()钳口。

A、稍高于

B、稍低于

C、大量高出

D、大量低于

6、下列哪一个指令不能设立工件坐标系( )。

A、G54

B、G92

C、G55

D、G91

7、数控编程时,应首先设定()。

A、机床原点

B、固定参考点

C、机床坐标系

D、工件坐标系

8、数控机床有不同的运动形式,需要考虑工件与刀具相对运动关系及坐标系方向,编写程序时,采用( )的原则编写程序。

A、刀具固定不动,工件移动

B、工件固定不动,刀具移动

C、分析机床运动关系后再根据实际情况

D、不能确定时,不能编程

9、在XY平面上,某圆弧圆心为(0,0),半径为80,如果需要刀具从(80、0)沿该圆弧到达(0,80)点程序指令为:( )。

A、G02 XO.Y80.I80.0 F300

B、G03 XO.Y80.J80.0 F300

C、G02 X8O.Y0.J80.0 F300

D、G03 X80.Y0.J-80.0 F300

10、数控铣床操作面板中( )符号的意义为“复位”。

A、DEL

B、COPY

C、RESET

D、AuTo

11、采用半径编程方法填写圆弧插补程序段时,当其圆弧所对应的圆心角( ) 180度时,该半径R取负值。

A、大于

B、小于

C、大于或等于

D、小于或等于

12、工件的一个或几个自由度被不同的定位元件重复限制的定位称为()。

A、完全定位

B、欠定位

C、过定位

D、不完全定位

13、下列形位公差符号中()表示同轴度位置公差。

0.025

0.0250.0250.025

A B C D

14、圆弧插补指令G03 X Y R 中,X、Y后的值表示圆弧的()。

A.起点坐标值 B. 终点坐标值 C. 圆心坐标相对于起点的值

15、数控铣床的基本控制轴数是()

A.一轴

B. 二轴

C.三轴

D. 四轴

16、用Φ12的刀具进行外轮廓的粗、精加工,要求精加工余量为0.4,则粗加工

偏移量为()。

A. 12.4

B. 11.6

C. 6.4

D. 5.6

17、数控机床的标准坐标系是以()来确定的。

A. 右手笛卡尔坐标系

B. 绝对坐标系

C. 相对坐标系

18、进行轮廓铣削时,应避免()切入和退出工件轮廓。

A.切向

B.法向

C.平行

D.斜向

19、数控铣床一般由:铣床主机、( )、驱动装置和辅助装置等四个基本部分组

成。

A.数控程序 B.虎钳 C.控制部分 D.伺服电动机

20、下列行为符合操作规范的是()

A.在加工过程中用嘴吹工件上的铁屑

B.女同学在操作过程中未带工作帽

C.机床开机时先打开电源后打开急停开关

D.操作机床时一人负责操作,一个人负责指挥

三、判断题(每题1分,共15分,正确的在后面的括号里打“√”,错误的打“×”)

1、数控机床加工过程中可以根据需要改变主轴速度和进给速度。()

2、数控机床开机后,必须先进行返回参考点操作。 ( )

3、GOO和G01的运行轨迹都一样,只是速度不一样。 ( )

4、进给路线的确定一是要考虑加工精度,二是要实现最短的进给路线。( )

5、刀位点是刀具上代表刀具在工件坐标系的一个点,对刀时,应使刀位点与对刀点

重合. ( )

6、立铣刀的刀位点是刀具中心线与刀具底面的交点。( )

7、程序段的顺序号,根据数控系统的不同,在某些系统中可以省略的。()

8、当数控加工程序编制完成后即可进行正式加工。()

9、圆弧插补中,对于整圆,其起点和终点相重合,用R编程无法定义。()

10、数控铣床中MDI方式下输入的程序可以重复调用。()

11、G代码可以分为模态G代码和非模态G代码。()

12、公差就是加工零件实际尺寸与图纸尺寸的差值。()

13、配合可以分为间隙配合和过盈配合两种。()

14、数控铣床规定Z轴正方向为刀具接近工件方向。()

15、数控铣床的控制面板中的POS键为显示坐标。()

三、简答题(每题5分)

1、用增量编指令程编(直接找点编程)

2、简述数控铣床操作流程?

3、简述G41与G42的区别。

四、编程题

哈工大FPGA设计与应用分频器设计实验报告

FPGA设计与应用 分频器设计实验报告 班级:1105103班 姓名:郭诚 学号:1110510304 日期:2014年10月11日

实验性质:验证性实验类型:必做开课单位:电信院学时:2学时 一、实验目的 1、了解Quartus II软件的功能; 2、掌握Quartus II的HDL输入方法; 3、掌握Quartus II编译、综合、适配和时序仿真; 4、掌握Quartus II管脚分配、数据流下载方法; 5、了解设计的资源消耗情况; 6、掌握分频器和计数器的实现原理; 7、掌握数码管的静态和动态显示原理 二、实验准备(1分) 2.1 EP2C8的系统资源概述 逻辑单元8,256 M4K RAM 块(4k比特+512校验比特) 36 总的RAM比特数165,888 嵌入式乘法器18 锁相环PLLs 2

2.2 工程所用到的FPGA引脚及功能说明 工程所用到的FPGA引脚及功能说明: PIN_23是时钟引脚; PIN_114 PIN_117 PIN_127 PIN_134是数码管的位选引脚; PIN_133 PIN_116 PIN_110 PIN_112 PIN_128 PIN_118 PIN_115是数码管段选信号引脚;实验所用的LED是共阴级连接 2.3 数码管的动态显示原理 动态显示是将所有数码管的8个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O 线控制,当输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于FPGA对位选通COM端电路的控制,所以只要将需要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。通过分时轮流控制各个数码管的的COM端,就使各个数码管轮流受控显示,这就是动态驱动。在轮流显示过程中,每位数码管的点亮时间为1~2ms,由于人的视觉暂留现象及发光二极管的余辉效应,尽管实际上各位数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,不会有闪烁感。

杭州电子科技大学数电大作业实验报告电子琴

数电大作业实验报告如图是CODE3的case语句程序,该模块是一个编码器,即将输入的8位琴键信号进行编码,输出一个4位码,最多能对应16个音符(若有16个键)。 如图所示是INX2CODE的case语句程序,该模块是一个译码器,它将来自键盘输入的编码信号译码成数控分频器SPK0输出信号的频率控制字。 另外两个模块是M_CODE和DCD7SG,它们的case语句程序如上图所示。前者的功能是将来自CODE3的键盘编码译成简谱码和对应的音调高低值H,后者是一个数码管7段显示译码器,负责将简谱码译成数码管的显示信号。 如图所示是SPK0模块的内部结构。其中的计数器CNT11B是一个LPM宏模块,这是一个11位二进制加法计数器。在设置其结构参数时,应该选择同步加载控制,即sload(Synchronous Load),这样能较好地避免来自进位信号cout中可能的毛刺影响。异步加载aload极易受到随机窄脉冲的误触发,在此类电路中不宜采用。图中D触发器和反相器的功能是将用于控制加载的进位信号延迟半个时钟周期,一来也是为了滤除可能的毛刺,以免对加载更为可靠,因为这时,时钟上升沿正好处于加载脉冲的中点。 模块CODE3,INX2CODE和SPK0的主要工作过程是这样的: 当按琴键后,产生的数据经编码器获得一个编码(例如,当按下第二个键,对应0010,即2),它对应模块INX2CODE中的一个值(2对应390H)。当这个值(如390H)被置入模块SPK0中的11位可预置计数器中后。由于计数器的进位端与预置数加载段端相连,导致此计数器将不断以此值作为计数起始值,直至全1。

以下以预置值为390H为例,来计算SPK0输出信号的频率值。 当以390H为计数器起始值后,此计数器成为一个模(7FFH-390H=46FH=1135)的计数器。即每从CLK端输入1135个脉冲,BEEP端输出一个进位脉冲。由于输入的时钟频率是1MHz (周期是1us),于是BEEP输出的信号频率是1/(1135us)=841Hz。 由下面电子琴的顶层电路可见,SPK0的输出信号经过一个由D触发器接成的T’触发器后才输出给蜂鸣器。这时信号被作了二分频,于是,预置值390H对应的与蜂鸣器发音的基频F 约等于440Hz。 B 电子琴顶层电路中T’触发器有两个功能,一个作用是作二分频器;另一个作用是作为占空比均衡电路。这是因为由SPK0模块输出信号的脉宽极窄,功率极低,无法驱动蜂鸣器,但信号通过T’脉宽就均匀了(F 的占空比为50%)。 B 如图所示是电子琴顶层设计电路,含2个输入口和3个输出口。 1.工作时钟CLK,频率:1MHz。用于在主控模块中产生与琴键对应的振荡频率,以驱动蜂 鸣器发出相应的声音。 2.琴键输入DIN[7..0].8个音符,8位中只能有一位为0,即8个琴键中每一时刻只能按 一个键。 3.输出端口SPK0用于驱动蜂鸣器。 4.输出信号LED接数码管,用于显示对应的简码谱。H显示音高低。

数控技术期末试题(总)

《数控加工工艺与编程》 模拟试题一 一、填空题(每题1分,共20分) 1、数控机床大体由输入装置、数控装置、伺服系统和机床本体组成。 2、FMC由加工中心和自动交换工件装置所组成。 3、数控系统按一定的方法确定刀具运动轨迹的过程叫插补,实现这一运算的装置叫插补器 4、数控机床坐标系三坐标轴X、Y、Z及其正方向用右手定则判定,X、Y、Z各轴的回转运动及其正方向+A、+B、+C分别用右手螺旋法则判断。 5、走刀路线是指加工过程中,刀具刀位点相对于工件的运动轨迹和方向。 6、使用返回参考点指令G28时,应取消刀具补偿功能,否则机床无法返回参考点。 7、在精铣内外轮廓时,为改善表面粗糙度,应采用顺铣的进给路线加工方案。 8、一般数控加工程序的编制分为三个阶段完成,即工艺处理、数学处理和编程调试。 9、一般维修应包含两方面的含义,一是日常的维护,二是故障维修。 10、数控机床的精度检查,分为几何精度检查、定位精度检查和切削精度检查。 11、刚度是指材料在外力作用下抵抗变形的能力。 12、三相步进电动机的转子上有40个齿,若采用三相六拍通电方式,则步进电动机的步距角为1.50 。 13、切削时的切削热大部分由切屑带走。 14、决定某一种定位方式属于几点定位,根据工件被消除了几个自由度。 15、滚珠丝杠消除轴向间隙的目的是提高反向传动精度。 16、车刀的角度中影响切削力最大的是前角。 17、观察G02(G03)方向为垂直于圆弧所在坐标平面的坐标轴负向。 18、刀具路线是刀位点相对于工件运动轨迹。 19、可以完成几何造型,刀具轨迹生成,后置处理的编程方法称图形交互式自动编程。 20、刀具磨损主要原因是切削时的高温。 二、判断(每题1分,共20分) 1.数控机床是为了发展柔性制造系统而研制的。(×) 2.数控技术是一种自动控制技术。(√) 3.数控机床的柔性表现在它的自动化程度很高。(×) 4.数控机床是一种程序控制机床。(√) 5.能进行轮廓控制的数控机床,一般也能进行点位控制和直线控制。(√) 6.加工平面任意直线应采用点位控制数控机床。(×) 7.加工与坐标轴成45o的斜线可采用点位直线控制数控机床。(√) 8.多坐标联动就是将多个坐标轴联系起来,进行运动。(×) 9.联动是数控机床各坐标轴之间的运动联系。(×) 10.四轴控制的数控机床可用来加工圆柱凸轮。(√) 11.加工中心是一种多工序集中的数控机床。(√) 12.加工中心是最早发展的数控机床品种。(×) 13.加工中心是世界上产量最高、应用最广泛的数控机床之一。(√) 14.数控机床以G代码作为数控语言。(×) 15.数控机床上的F、S、T就是切削三要素。(×) 16.G40是数控编程中的刀具左补偿指令。(×) 17.判断刀具左右偏移指令时,必须对着刀具前进方向判断。(×) 18.数控铣床的工作台尺寸越大,其主轴电机功率和进给轴力矩越大。(√) 19.G03X_Y_I_J_K_F_表示在XY平面上顺时针插补。(×)

数控技术及其应用试卷及其复习资料

课程名称:_ _数控技术及其应用(上)___ 总分:_______________ 一、单项选择题(每1分,共20分) 1. 通常数控系统除了直线插补外,还有( B )。 (A)正弦插补(B)圆弧插补(C)抛物线插补(D)螺旋线插补2.数控加工中心与普通数控铣床、镗床的主要区别是( D )。 (A)一般具有三个数控轴(B)主要用于箱体类零件的加工(C)能完成铣、钻、镗、铰、攻丝等加工功能 (D)设置有刀库,在加工过程中由程序自动选择和更换 3.确定数控机床坐标系时假定( A )。 (A)刀具运动,工件相对静止(B)工件运动,刀具相对静止 (C)工件运动,工作台相对静止(D)刀具运动,工作台相对静止4.铣床程序单节“G00 G43 Z10. H05;”中H05的含义是( C )。(A)刀具长度补偿量是5mm(B)刀具半径补偿量是5mm (C)刀具长度补偿量存放位置(D)刀具半径补偿量存放位置 5.下列孔加工固定循环指令中不是钻孔循环的是( D )。 (A)G81 (B)G82 (C)G83 (D)G84 6.利用时间分割法进行插补运算时精度与进给速度和插补周期的关系( C ) (A)速度越快、插补周期越长精度越高 (B)速度越慢、插补周期越短精度越高 (C)速度越快、插补周期越短精度越高 (D)速度越慢、插补周期越长精度越高 7.数控机床进给系统减少摩擦阻力和动静摩擦之差,是为了提高数控机床进给系统的( B )。 (A)传动精度(B)运动精度和刚度,减少爬行(C)快速响应性能和 运动精度(D)传动精度和刚度 8.步进电机的转速是通过改变电机的( A )而实现。 (A)脉冲频率(B) 脉冲速度 (C) 通电顺序 (D )脉冲个数 9.在铣削一个XY平面上的圆弧时,圆弧起点在(30,0),终点在(-30,0),圆弧圆心点坐标(0,-40),圆弧起点到终点的旋转方向为顺时针,则铣削圆弧的指令为( D )。 (A)G17 G90 G02 X30.0 Y0 R50.0 F50 (B)G17 G90 G02 X30.0 Y0 R-50.0 F50 (C)G17 G90 G02 X-30.0 Y0 R50.0 F50 (D)G17 G90 G02 X-30.0 Y0 R-50.0 F50 10. 数控机床的回零指的是直线坐标轴回到( A ) (A)机床坐标系的原点(B)工件坐标系的原点(C)局部坐标系的原点(D)工作台的特定点,此点位置由用户自己设定 11. 数控铣床一般采用半闭环控制方式,它的位置检测器是( B )。 (A)光栅尺 (B)脉冲编码器 (C)感应同步器 (D)旋转变压器 12. 下列叙述错误的是( C )。 (A)旋转变压器属于间接测量位置检测装置 (B)直线感应同步器属于直接测量位置检测装置 (C)光栅属于模拟式测量位置检测装置 (D)脉冲编码器属于增量检测装置 13. 通常数控机床按( A )进行译码与控制。 (A)机床坐标系(B)工件坐标系(C)局部坐标系 (D)具体由用户自己设定 14. 步进电机的步距角的大小不受( C )的影响。 (A)转子的齿数(B)定子通电相数(C)通电的频率(D)通电方式 15. 下列叙述错误的是( B )。 (A)逐点比较法插补属于脉冲增量插补 (B)数字积分法插补属于数字增量插补 (C)扩展数字积分法插补属于数字增量插补 (D)时间分割法插补属于数字增量插补 16.数控系统所规定的最小设定单位就是( C )。 (A)数控机床的运动精度(B)机床的加工精度(C)脉冲当量 得分评卷人

分频器实验报告

分频器实验报告 分频器实验报告 start simulation直至出现 simulation as suessful,仿真结束。观察仿真结果。 时序仿真 图 : 六.实验过程所出现的问题及其解决 通过本次实验,初步掌握了语言的初步设计,收获颇多。但在实验过 程中也遇到了许多的问题,通过自己的独立思考和老师同学的相互讨 论对这个实验有了进一步的了解和认识。在最初建立工程的地方出现 了不少问题,因为没有详细阅读教材,导致无法成功建立工程运行程 序,最后认真熟读教材后,解决了问题。通过对错误的分析和解决, 让自己更好的掌握这一软件的基础操作,为下一次试验打下了见识的 基础。篇五: 八位十进制分频器实验报告 重庆交通大学信息科学与工程学院综合性实 验报告 姓名: 赵娅琳学号 10950214 班级:

通信工程专业201X级 2班 实验项目名称: 8位16进制频率及设计 实验项目性质: 设计性 实验所属课程: 数字设计基础 实验室 BEGIN IF CLKK'EVENT AND CLKK='1' THEN --1Hz时钟2分频 Div2CLK <= NOT Div2CLK; END IF; END PROCESS; PROCESS BEGIN IF CLKK='0' AND Div2CLK='0' THEN RST_CNT<='1'; --产生计数器清零信号 ELSE RST_CNT <='0'; END IF; END PROCESS; Load <= NOT Div2CLK; CNT_EN <= Div2CLK; END behav; 3、十进制计数模块

EDA实验报告

实验一计数器设计 一、实验目的 计数器是实际中最为常用的时序电路模块之一,本实验的主要目的是掌握使用HDL描述计数器类型模块的基本方法。 二、实验仪器与器材 1.EDA开发软件一套 2.微机一台 3.实验开发系统一台 4.其他器材与材料若干 三、实验说明 计数器是数字电路系统中最重要的功能模块之一,设计时可以采用原理图或HDL语言完成。下载验证时的计数时钟可选连续或单脉冲,并用数码管显示计数值。 四、实验要求 1.设计一个带有计数允许输入端、复位输入端和进位输入端的十进制计数器。 2.编制仿真测试文件,并进行功能仿真。 3.下载并验证计数器功能。 4.为上述设计建立元件符号。 5.在上述基础上设计计数器。 五、实验结果

十进制计数器程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter10 is port(en,reset,clk:in std_logic; q:buffer std_logic_vector(3 downto 0); co:out std_logic); end counter10; architecture behav of counter10 is begin process(clk,en) begin if clk'event and clk='1' then if reset='1' then q<="0000"; elsif en='1' then if q<"1001" then q<=q+'1'; else q<="0000"; end if; end if; end if; end process;

数控加工技术期末试题

数控加工技术期末试题 Company number【1089WT-1898YT-1W8CB-9UUT-92108】

《数控加工技术》试题A卷班级姓名 一、填空题(每空1分,共35分) 1、数控系统随着微电子技术的发展,先后经历了五代,前三代 称作硬接线数控简称硬件式系统,后二代由计算机软件控制,简称软件式系统。 2、数控设备主要由机床主体、输入装置、数控装置、伺服系统、反馈系统程序载体等组成 3、带有自动换刀系统,并能在一次装夹工件后,自动连续完成铣、钻、镗、攻 螺纹等加工的数控机床称为加工中心。 4、数控车床按主轴位置可分为立式和卧式数控车床;按加工零件的基本类型可 分为顶尖式和卡盘式数控车床;按刀架数量可分为单刀架和双刀架数控车床。 5、数控机床伺服控制方式分三类开环控制系统闭环控制系统半闭环控 制系统 6、确定下列基本坐标代号及方向。 7、在FANUC系统数控车床的编程中G73是指固定形状粗车循环指令,它特别适 合于仿型循环的粗车。 8、圆弧插补时,通常把与时钟走向一致的圆弧叫顺圆弧插补, 反之称为逆圆弧插补。

9、程序的结构由程序名程序段相应的符号组成。 10、NC机床的含义是数控机床,DNC的含义是计算机群控系统, FMS的含义是柔性制造系统,CIMS的含义是计算机集成制造系统。 11、坐标判定时,Z轴平行于回转轴线,正方向为远离工件方向时,主运动是 旋转;Z轴垂直于安装表面,正方向为远离工件方向时,主运动是直线。 二、判断题(每题1分,共15分) 1、规定平行于主轴轴线的坐标为X轴。 ( × ) 2、数控编程时可采用绝对值编程,增量值编程或混合编程。( √ ) 3、数控装置接到执行的指令信号后,即可直接驱动伺服电动机进行 工作。(×) 4、一般情况下半闭环控制系统的精度高于开环系统。(√) 5、数控机床单工作台,使用多工位夹具,在加工过程中,为了提高 效率,可以进行工件的装卸。(×) 6、工件安装在卡盘上,机床坐标系与编程坐标系相重合。(×) 7、数控机床的性能在很大程度上取决于伺服驱动系统的性能(√) 8、恒线速控制的原理是当工件的直径越大,进给速度越慢。(×) 9、数控机床进给运动的特点为速度快且消耗动力大。(×) 10、在数控机床上一般取刀具接近工件的方向为正方向。(×) 11、不同的数控机床可能选用不同的数控系统,但数控加工程序指 令都是相同的。(×) 12、通常在命名或编程时,不论何种机床,都一律假定工件静止刀 具移动。(√)

八位十进制分频器实验报告

重庆交通大学 信息科学与工程学院 综合性实验报告 姓 名: 赵娅琳 学 号 10950214 班 级: 通信工程专业2010级 2班 实验项目名称: 8位16进制频率及设计 实验项目性质: 设计性 实验所属课程: 数字设计基础 实验室(中心): 现代电子实验中心 指 导 教 师 : 李 艾 星 实验完成时间: 2012 年 6 月 18 日

教师评阅意见: 签名:年月日 实验成绩: 一、课题任务与要求 1、验证8位16进制频率计的程序; 2、根据8位16进制频率计的程序设计8位10进制频率计; 二、设计系统的概述 频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率,通常情况下计算每秒内待测的脉冲个数,此时闸门时间为1秒,闸门时间也可以大于或小于1秒。频率信号易于传输,抗干扰性强,可以获得较好的测量精度。 数字频率计的关键组成部分包括一个测频控制信号发生器、一个计数器和一个锁存器,另外包括脉冲发生器、姨妈驱动电路和显示电路。 工作过程:系统正常工作时,脉冲信号发生器输入1HZ的标准信号,经过测频控制信号发生器的处理,2分频后即可产生一个脉宽为1秒的时钟信号,以此作为计数闸门信号。测量信号时,将被测信号通过计数器作为时钟。当技术闸门信号高平有效时,计数器开始计数,并将计数结果送入锁存器中。设置锁存器的好处是现实的数据稳定,不会由于周期的清零信号而不断闪烁。最后将所存的数值由外部的译码器并在数码管上显示。 三、单元电路的设计与分析(重点描述自己设计部分) 1、八位十进制计数顶层模块设计 (1)、此模块是元件例化语句将各个元件(测频控制模块、十进制计数模块、测频锁存器模块)连接形成一个整体,完成最终频率计所期望的功能。

电子琴实验报告乐曲硬件演奏电路设计本科论文

武夷学院实验报告 课程名称:逻辑设计与FPGA 项目名称: 乐曲硬件演奏电路设计 ______________ 姓名: 专业:微电子学 班级:14微电子 学号:04实验日期 、 实验预习部分: 1. 实验目的: 学习利用数控分频器设计硬件乐曲演奏电路。 2. 实验原理: 综合利用数控分频器、LPM ROMPLL 等单元电路设计硬件乐曲演奏电路。系统框图如图 1 所示由三个模块组成,分别为 NOTETABSTONETABASPEAKERA NOTETAB 模块(把教材图9-4中的CNT138T 和MUSIC 模块合在一起即为此模块)类似于弹 琴人的手指;TONETAB 模块类似于琴键;SPEAKER 类似于琴弦或音调发生器。 音符的频率由SPEAKER 模块(与教材图9-4中的SPKEF 模块对应)获得,这是一个数控分 频器。由其CLK 端输入一具有较高频率(12MHZ 的信号,通过SPEAKER 分频后由SPKOU 输出。 SPEAKER 对CLK 输入信号的分频比由11位预置数TONE[10..0]决定。SPKOU 的输出频率将决定 每一音符的音调,这样,分频计数器的预置值 TONE[10..0]与SPKOU 的输出频率就有了对应关 系。例如在TONETAB 模块(与教材图9-4中的F_COD 模块对应)中若取 TONE[10..0] = 1036, 图1硬件乐曲演奏电路结构框图 i.;E-Z

将发出音符“ 3”音的信号频率。

实验预习成绩(百分制)____________________ 实验指导教师签字:_________________________

机床数控技术期末考试试卷

…2012~2013学年第二学期………《机床数控技术》期末考试试卷班、10311008、1010~1014………可以使用计算器)90分钟(考试 时间…… …一、填空题:(请将正确答案填写在横线上,每题1分,共18分) … …1.对数控机床管理的基本要求可概括为“三好”即、… …、。线 …2.数控机床选用的一般原则、、…名 …姓、。………3数控机床选用的方法、、。 … …4.CNC装置的功能通常包括功能和功能。… … 5.刀具半径补偿解决了编程轨迹与刀具中心轨迹之间的矛盾。刀具半径补 … … 偿的执行过程包括、、。…… 封6.计算机数控系统(CNC系统)是一种系统,它自动阅读输入载 … …体上事先给定的数字,并将其码,从而使机床。号…

学…… …二、选择题(请将正确答案的序号填写在题中的括号内,每题1分,共20 … … 分)… … …1.数控机床的安装步骤为()。 … …A、就位、找平、清洗和连接、拆箱… 密 B、拆箱、就位、找平、清洗和连接 … … C、拆箱、找平、清洗和连接、就位…级…班… D、拆箱、找平、就位、清洗和连接……2.数控机床安装和调试的步骤()。… …A、机床的安装、机床通电试车、精度和功能调试、运行试车……B、机床通电试车、机床的安装、精度和功能调试、运行试车……、机床的安装、机床通电试车、运行试车、精度和功能调试C…. D、机床的安装、运行试车、精度和功能调试、机床通电试车 3.实时中断处理有()。 A、外部中断、内部中断、硬件故障中断、程序性中断 B、外部中断、分时中断、硬件故障中断、程序性中断 C、外部中断、内部中断、硬件故障中断、偶然性中断 D、外部中断、内部中断、硬件故障中断、软件故障中断 4.在前后台软件结构中,前台程序是一个()。 A、系统管理程 B、前台子程序 C、译码、数据处理程序 D、定时中断处理程序 5.在数控系统中PLC控制程序实现机床的()。 A、各执行机构的逻辑顺序控制 B、位置控制 D、各进给轴轨迹和速度控制、插补控制 C6.逐点比较插补法的工作顺序为()。 A、偏差判别、进给控制、新偏差计算、终点判别 B、进给控制、偏差判别、新偏差计算、终点判别 C、终点判别、新偏差计算、偏差判别、进给控制 D、终点判别、偏差判别、进给控制、新偏差计算 7.在数控机床中,刀具的最小移动单位是() A. 0.1mm B. 0.01mm C. 1个脉冲当量 D. 0.001m

VHDL非整数分频器设计实验报告

非整数分频器设计 一、 输入文件 输入时钟CLK: IN STD_LOGIC 二、 设计思路 1. 方法一:分频比交错 (1) 确定K 值 先根据学号S N 确定M 和N :为了保证同学们的学号都不相同,取学号的后四位,即N S =1763 ()mod 1920(mod 17)0 17mod 17 S S S N N if N then M else M N =+=== 由以上公式,得N=(1763 mod 19)+20=35 M=(1763 mod 17)=12 然后根据下式计算分频比K 的值: 8()9N M M K N -+= = =8.34285714 (2) 确定交错规律 使在35分频的一个循环内,进行12次9分频和23次8分频,这样,输出F_OUT 平均为F_IN 的8.34285714分频。为使分频输出信号的占空比尽可能均匀,8分频和9分频应‘交替’进 (3) 设计框图:要求同步时序设计

(4)代码 在实体内定义两个进程(PROCESS P1和PROCESS P2),一个进程控制输出8/9分频,一个进程控制35分频周期比例输出。控制器输出FS_CTL信号控制输出是8分频还是9分频,分频器输出C_ENB信号来控制35分频计数器计数。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY DIV IS--定义实体,实体名DIV PORT(F_IN: IN STD_LOGIC;--输入时钟信号 F_OUT: OUT STD_LOGIC--输出时钟信号 ); END DIV; ARCHITECTURE A OF DIV IS SIGNAL CN1: INTEGER RANGE 0 TO 7;--8分频计数器 SIGNAL CN2: INTEGER RANGE 0 TO 8;--9分频计数器 SIGNAL CN: INTEGER RANGE 0 TO 34;--整体计数器 SIGNAL C_ENB: STD_LOGIC;--整体计数器时钟驱动信号 SIGNAL FS_CTL: STD_LOGIC;--控制8、9分频比例信号,高电平8分频,低电平9分频 BEGIN P1:PROCESS(F_IN)--8、9分频计数进程 BEGIN IF (F_IN'EVENT AND F_IN='1') THEN IF(FS_CTL='0') THEN--9分频 IF CN2=8 THEN--计数 CN2<=0; ELSE CN2<=CN2+1; END IF; IF CN2>4 THEN--控制输出,占空比0.5 F_OUT<='1'; ELSE F_OUT<='0'; END IF; IF CN2=8 THEN--控制整体计数器驱动信号 C_ENB<='1'; ELSE C_ENB<='0'; END IF; ELSE IF CN1=7 THEN--8分频计数,同上 CN1<=0; ELSE CN1<=CN1+1; END IF; IF CN1>3 THEN F_OUT<='1'; ELSE F_OUT<='0'; END IF; IF CN1=7 THEN C_ENB<='1'; ELSE C_ENB<='0'; END IF; END IF; END IF; END PROCESS P1; P2:PROCESS(C_ENB)--整体计数进程 BEGIN IF (C_ENB'EVENT AND C_ENB='1') THEN--由驱动信号驱动 IF CN=34 THEN--计数 CN<=0; ELSE CN<=CN+1; END IF; IF (CN=34 OR CN=2 OR CN=5 OR CN=8 OR CN=11 OR CN=14 OR CN=17 OR CN=20 OR CN=23 OR CN=26 OR CN=29 OR CN=32) THEN FS_CTL<='0'; ELSE FS_CTL<='1'; END IF;--8、9分频比例分配 ELSE CN<=CN; END IF; END PROCESS P2; END A;

EDA实验报告

EDA 实验报告 实验一:组合电路的设计 实验内容是对2选1多路选择器VHDL 设计,它的程序如下: ENTITY mux21a IS PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGIN d <= a AND (NOT S) ; e <= b AND s ; y <= d OR e ; END ARCHITECTURE one ; Mux21a 仿真波形图 以上便是2选1多路选择器的VHDL 完整描述,即可以看成一个元件mux21a 。mux21a 实体是描述对应的逻辑图或者器件图,图中a 和b 分别是两个数据输入端的端口名,s 为通道选择控制信号输入端的端口名,y 为输出端的端口名。Mux21a 结构体可以看成是元件的内部电路图。最后是对仿真得出的mux21a 仿真波形图。 Mux21a 实体 Mux21a 结构体

实验二:时序电路的设计 实验内容D 触发器的VHDL 语言描述,它的程序如下: LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ; ARCHITECTURE bhv OF DFF1 IS BEGIN PROCESS (CLK) BEGIN IF CLK'EVENT AND CLK = '1' THEN Q <= D ; END IF; END PROCESS ; END bhv; D 触发器的仿真波形图 最简单并最具代表性的时序电路是D 触发器,它是现代可编程ASIC 设计中最基本的时序元件和底层元件。D 触发器的描述包含了VHDL 对时序电路的最基本和典型的表达方式,同时也包含了VHDL 中许多最具特色的语言现象。D 触发器元件如上图所示,其在max+plus2的仿真得出上面的波形 D 触发器

数控加工专业技术试卷及答案

武夷学院继续教育学院期末考试试卷 ( 级 专业20 ~20 学年度 第 学期) 课程名称 数控加工技术 卷 考试形式 闭(开)卷 考核类型 考试(查) 本试卷共 大题,卷面满分100分,答题时间120分钟。 一、填空题(每空1分,共10分) 1. 程序是由 , , 3部分组成。 2. 刀位点是是指刀具的 。 3. 刀具补偿功能主要有 和 。 4. 对刀点既是程序的 ,也是程序的 。 5.坐标系旋转指令中, 表示开始坐标旋转, 用于撤销旋转功能。 二、单项选择题(选择正确答案的字母填入括号,每小题2分,共20分) 1. 常用普通数控车床的坐标轴为( )。 A .X 轴和Y轴 B.X 轴和Z轴 C.Z 轴和Y 轴 D.X 轴、Z轴和C 轴 2. 辅助功能M04代码表示( )。 A.程序停止 B.切削液开 C.主轴停止 D.主轴逆时针转动 3. 刀具远离工件的运动方向为坐标的( )方向。 A.左 B.右 C.正 D.负 4. 在编程中,为使程序简捷,减少出错几率,提高编程工作的效率,总是希望以( )的程序段数实现对零件的加工。 A.最少 B.较少 C.较多 D.最多 5. 在数控机床上加工封闭外轮廓时,一般沿( )进刀。 A.法向 B.切向 C .任意方向 D.以上都不对 6. 加工中心换刀时,主轴所用到的相应指令是( )。 A.M02 B.M 05 C .M30 D.M06 7. 以最大进给速度移动到指定位置点的定位功能( )。 A.G00 B.G01 C.G08 D.G 09 8. 下列哪种机床不属于数控机床( )。

A.电火花 B.线切割 C.加工中心 D.组合机床 9. 选择刀具起刀点时应考虑( )。 A.防止与工件或夹具干涉碰撞B.方便工件安装与测量 C.每把刀具刀尖在起始点重合 D.必须选择工件外侧 10.程序编制中首件试切的作用是( )。 A.检验零件图样的正确性 B.检验工艺方案的正确性C.仅检验数控穿孔带的正确性 D.检验程序单或控制介质的正确性,并检查是否满足加工精度要求。 三、判断题(正确的在括号里填上“√”,错误的在括号里填上“×”,每小题1分,共10分) 1.进给路线的确定一是要考虑加工精度,二是要实现最短的进给路线。( ) 2. 数控编程时,应首先设定机床坐标系和参考点。( ) 3.数控机床的坐标系规定与普通机床相同,均是由左手直角笛卡儿坐标系确定。()4.G00和G01的运行轨迹都一样,只是速度不一样。() 5. 圆弧加工程序中若圆心坐标I、J、K,半径R同时出现时,程序执行按半径R,圆心坐标不起作用。() 6. 在数控铣床上精铣外轮廓时,应使铣刀沿工件轮廓线的法线方向进刀。() 7. 所有数控机床加工程序的结构均由引导程序、主程序及子程序组成。( ) 8.坐标系设定指令程序段只设定程序原点的位置,它并不产生运动,即刀具仍在原位置。( ) 9. 由于数控铣削加工零件时,加工过程是自动的,所以选择毛坯余量时,要考虑充足的余量和尽可能均匀。( ) 10.铣削零件轮廓时进给路线对加工精度和表面质量无直接影响。() 四、简答题(每小题5分,共20分) 1. 数控加工顺序安排一般应遵循的原则是什么? 2.加工孔循环指令格式为G17 G90/G91 G98/G99 G××X~Y~ Z~R~Q~P~ F~ K~;试简述指令格式中各字符的含义分别是什么? 五、画图题(每小题10分,共10分) 在下图画出刀具轨迹(不考虑实际加工情况),其中:虚线“- - -”表示快进,实线“—”表示切削进给。只画在X-Y平面的走刀路线。

数控技术期末整理试题及答案

数控技术期末整理试题及答案 一、知识点 1、数控及数控机床的定义;数控机床的组成;数控机床的主要技术参数;数控机床的分类?答:数控,即是数字控制(NC);数控机床就是采用了数控技术的机床;数控机床主要由程序介质、数控装置、伺服系统、机床主体四部分组成;数控机床的主要技术参数:1、主要规格尺寸; 2、主轴系统; 3、进给系统; 4、刀具系统;数控机床的分类:1、按机械运动轨迹分类(1.点位控制数控机床;2.直线控制数控机床;3.轮廓控制数控机床。);2、按伺服系统的类型分类(1.开环伺服系统数控机床;2.闭环伺服系统数控机床;3. 半闭环伺服系统数控机床。);3、按功能水平分类(高、中、低档);4、按加工方式分类(1.金属切削类数控机床;2金属成型类数控机床;3.数控特种加工机床。) 2、什么是脉冲当量(分辨率);什么是定位精度和重复定位精度? 答:脉冲当量是指两个相邻分散细节之间可以分辨的最小间隔,是重要的精度指标。定位精度是指数控机床工作台等移动部件在确定的终点所达到的实际位置的精度。重复定位精度是指在同一台机床上,应用相同程序相同代码加工一批零件,所得到的连续结果的一致程度。 3、开环伺服系统数控机床、闭环伺服系统数控机床、半闭环伺服系统数控机床各自有什么 特点? 答:(1)开环:这类机床没有来自位置传感器的反馈信号。数控系统将零件程序处理后,输出数字指令后给伺服系统,驱动机床运动;其结构简单、较为经济、维护方便,但是速度及精度低,适于精度要求不高的中小型机床,多用于对旧机床的数控化改造。 (2)闭环:这类机床上装有位置检测装置,直接对工件的位移量进行测量;其精度高,但系统设计和调整困难、结构复杂、成本高,主要用于一些精度要求很高的镗铣床、超精密车床、超精密铣床、加工中心等。 (3)半闭环:这类数控机床采用安装在进给丝杠或电动机端头上的转角测量元件测量丝杠旋转角度,来间接获得位置反馈信息;可获得较为满意的精度和速度,大多数数控机床采用它,如数控车床、数控铣床和加工中心等。 4、数控加工方法与加工方案的确定原则分别是什么? 答:数控加工方法的选择是保证加工表面的加工精度和表面粗糙度的要求。加工方案的确定原则:零件上比较精确表面的加工,常常是通过粗加工、半精加工和精加工逐步达到的。

EDA分频器实验教案

实验三:整数分频器的设计 一实验目的 了解利用类属设计可配置的重用设计技术。 二实验原理 利用类属n 可以改变分频器的分频系数,输出q的频率是输入信号clk频率的1/n。通过给n赋不同的值,就可以得到需要的频率。 三实验器材 EDA实验箱 四实验内容 1.参考教材第5章中5.3.1,完成整数分频器的设计。 2.修改5. 3.1中的分频系数n,观察仿真波形和实验箱输入/输出信号的变化。 3.在试验系统上硬件验证分频器的功能。 在实验三中,建议将实验箱的实验电路结构模式设定为模式7。输入信号clk由键7的输出来模拟,输入信号reset_n由键8模拟,输出信号q接至LED:D14。当然,也可以由实验者设定到其它功能相似的键或者LED。

代码:(参考教材第5章中5.3.1) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div is generic(n:integer:=8); port(clk,reset_n:in std_logic; q: out std_logic); end div; architecture behavl_div of div is signal count:integer range n-1 downto 0; begin process(reset_n,clk) begin if reset_n='0' then q<='0'; count<=n-1; elsif(clk'event and clk='1' and clk'last_value='0')then count<=count-1; if count>=(n/2)then q<='0'; else q<='1'; end if; if count<=0 then count<=n-1; end if; end if; end process; end behavl_div; 五实验注意事项 1.编写代码时一定要书写规范 2模式的选择和引脚的配置一定要合理 六实验报告 简述实验过程,将实验项目分析设计,仿真和测试写入实验报告。

数控分频器实验报告

《数控分频实验》 姓名:谭国榕班级:12电子卓越班学号:201241301132 一、实验目的 1.熟练编程VHDL语言程序。 2.设计一个数控分频器。 二、实验原理 本次实验我是采用书上的5分频电路进行修改,通过观察其5分频的规律进而修改成任意奇数分频,再在任意奇数分频的基础上修改为任意偶数分频,本次实验我分为了三个部分,前两部分就是前面所说的任意奇数分频和任意偶数分频,在这个基础上,再用奇数输入的最低位为1,偶数最低位为0的原理实现合并。 三、实验步骤 1.任意奇数分频 程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY DIV1 IS PORT(CLK:IN STD_LOGIC; D:IN INTEGER RANGE 0 TO 255; K1,K2,K_OR:OUT STD_LOGIC ); END; ARCHITECTURE BHV OF DIV1 IS SIGNAL TEMP3,TEMP4:STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL M1,M2:STD_LOGIC; --SIGNAL OUT1,OUT2,OUT3:STD_LOGIC; BEGIN PROCESS(CLK,TEMP3) BEGIN IF RISING_EDGE(CLK) THEN IF(TEMP3=D-1) THEN TEMP3<="00000000"; ELSE TEMP3<=TEMP3+1; END IF; IF(TEMP3=D-(D+3)/2) THEN M1<=NOT M1; ELSIF (TEMP3=D-2) THEN M1<=NOT M1; END IF; END IF; END PROCESS; PROCESS(CLK,TEMP4) BEGIN IF FALLING_EDGE(CLK) THEN IF(TEMP4=D-1) THEN TEMP4<="00000000"; ELSE TEMP4<=TEMP4+1; END IF; IF(TEMP4=D-(D+3)/2) THEN M2<=NOT M2; ELSIF (TEMP4=D-2) THEN M2<=NOT M2;

数控《刀具》期末试题

07级高中、08春高《金属切削原理与刀具》期末复习题(B)(07高中数控、师资、加工中心班、08春高用卷) 填空(每空0.5分,共20分) 1.切削加工时与的相对运动称为切削运动。 2.在正交平面中,常见的断屑槽形状有、和 三种。 3.金刚石的主要缺点是差、低、大,故对冲 击、振动敏感,因而对机床的精度、刚度要求。一般只适宜作。 4.最容易产生积屑瘤的切削速度是 m/min,切削温度约。 5.刀具正常磨损分磨损、磨损和磨损三种。 6.切削液有作用、作用、作用、作用。 7.车刀按结构的不同可分为车刀、车刀和 车刀。 8.麻花钻的工作部分由个前刀面、个主后刀面和个副 后刀面;条主切削刃、条副切削刃和条横刃组成,因此可看做“五刃六面”刀具。 9.对称铣削时,刀具与工件的位置,刀齿切入工件与切出工件的切 削厚度,铣削宽度顺铣与逆铣部分。 10.拉刀的切削部的刀齿担负全部工作,其中前面刀齿为齿, 后面刀齿为齿。 11.滚刀加工齿轮时,主运动是滚刀的运动,进给运动包括齿坯 的及滚刀沿工件轴线方向的。 12.螺纹滚压工具是利用使金属材料产生变形,以制造各种 形和圆锥形螺纹。 :(正确的打√,错误的打×,每小题1分,共10分) 1.切削层是指工件上多余的一层金属。() 2.节状切削又叫挤裂切削,粒状切削又叫单元切屑。() 3.YN类硬质合金可进行淬火钢的断续切削。() 4.刀具设计图上所标注的角度是刀具标注角度。() 5.当车刀刀尖高于工件中心时,纵车和横车的工作角度变化情况不同。()为了提高生产效率,采用大进给切削要比采用大切深切削省力。() 7.零件表面粗糙度值越小越耐磨。() 8.成形车刀经过一个切削行程就可以切出工件的成形表面。() 9.直径大于13mm的麻花钻多为圆柱柄,直径小于13mm的麻花钻多为莫氏锥柄。 ()10.铣削层参数与铣削力无直接关系。() 三、选择题:(将正确答案填入括号内,每小题2分,共20分) 1.在各种切削加工中,()只有一个。 A.切削运动 B.主运动 C.进给运动 2.在金属切削中,通常切屑的长度比切削层的长度()。 A.长 B.短 3.粗车45钢应选用()牌号的硬质合金刀具。 A.YT5 B.YT15 C.YT25 D.YT30 4.刃倾角影响切屑流出的方向,当刃倾角大于0O时,切屑流向()。 A.已加工表面 B.待加工表面 C.加工表面 5.刀具角度中,对切削力影响最大的是()。 A.前角 B.后角 C.主偏角 D. 刃倾角 6.通常磨钝标准是指()磨损带中间部分平均磨损量允许达到的最大值,以 字母()表示。 A.前刀面 B.后刀面 C.VB D.KT E.KB 7.碳的质量分数()的钢,其切削加工性好。 A.小于0.15% B.为0.35%—0.45% C.大于0.6% 8.()是指铣刀主切削刃参加工作的长度。 A.切削厚度 B.铣削宽度 C.切削宽度 9.内孔拉刀后角一般(),外拉刀的后角一般()。 A.为负值 B.较小 C.较大 10.用盘形铣刀加工螺纹,工作时铣刀轴线与工件轴线()。 A.互相平行 B.互相垂直 C. 倾斜一个螺旋角

相关文档
相关文档 最新文档