文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理(肖铁军2010版)课后答案(完整版)

计算机组成原理(肖铁军2010版)课后答案(完整版)

计算机组成原理(肖铁军2010版)课后答案(完整版)
计算机组成原理(肖铁军2010版)课后答案(完整版)

计算机组成原理(肖铁军2010版)课后答案

第一章;1

.比较数字计算机和模拟计算机的特点;解:模拟计算机的特点:

数值由连续量来表示,运算过;数字计算机的特点:数值由数字量(离散量)来

表示,;2.数字计算机如何分类?分类的依据是什么?;解:分类:数字计算机分为专用计算机和通用计算机;分类依据:专用和通用是根据计算机的效率、速度、价;通用机的分类依据主要是体积、简易性、功率损耗、性;3.数字计算机有那些主

第一章

1.比较数字计算机和模拟计算机的特点。

解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的

数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。两者主要区别见P1 表1.1。

2.数字计算机如何分类?分类的依据是什么?

解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、中型机、小型机、微型机和单片机六类。

通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、指令系统规模和机器价格等因素。

3.数字计算机有那些主要应用?

(略)

4.冯. 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分?

解:冯. 诺依曼型计算机的主要设计思想是:存储程序和程序控制

存储程序:将解题的程序(指令序列)存放到存储器中;

程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。

5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字?解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB、M

B、GB来度量,存储容量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。

单元地址:单元地址简称地址,在存储器中每个存储单元都有唯一的地址编号,称为单元地址。

数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。

6.什么是指令?什么是程序?

程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。

7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据?解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的

信息即为数据信息。

8.什么是内存?什么是外存?什么是CPU?什么是适配器?简述其功能。解:内存:一般由半导体存储器构成,装在底版上,可直接和CPU交换信息的存储器称为内存储器,简称内存。用来存放经常使用的程序和数据。

外存:为了扩大存储容量,又不使成本有很大的提高,在计算机中还配备了存储容量更大的磁盘存储器和光盘存储器,称为外存储器,简称外存。外存可存储大量的信息,计算机需要使用时,再调入内存。

CPU:包括运算器和控制器。基本功能为:指令控制、操作控制、时间控制、数据加工适配器:连接主机和外设的部件,起一个转换器的作用,以使主机和外设协调工作。

9.计算机的系统软件包括哪几类?说明它们的用途。

解:系统软件包括:(1)服务程序:诊断、排错等

(2)语言程序:汇编、编译、解释等

(3)操作系统

(4)数据库管理系统

用途:用来简化程序设计,简化使用方法,提高计算机的使用效率,发挥和扩大计算机的功

10.说明软件发展的演变过程。

(略)

11.现代计算机系统如何进行多级划分?这种分级观点对计算机设计会产生什么影响?解:多级划分图见P16图1.6。可分为:微程序设计级、一般机器级、操作系统级、汇编语言级和高级语言级。

用这种分级的观点来设计计算机,对保证产生一个良好的系统结构是有很大帮助的。

12.为什么软件能够转化为硬件?硬件能够转化为软件?实现这种转化的媒介是什么?

13. "计算机应用"与"应用计算机"在概念上等价吗?用学科角度和计算机系统的层次结构来寿命你的观点。

第二章

1. 写出下列各数的原码、反码、补码、移码表示(用8位二进制数)。其中MSB是最高位(又是符号位)LSB是最低位。如果是小数,小数点在MSB之后;如果是整数,小数点在LSB之后。

(1) -35/64 (2) 23/128 (3) -127 (4) 用小数表示-1 (5) 用整数表示-1 解:(1)先把十进制数-35/64写成二进制小数:

(-35/64)10=(-100011/1000000)2=(-100011×2-110)2=(-0.100011)2 令x=-0.100011B

∴ [x]原=1.1000110 (注意位数为8位) [x]反=1.0111001

[x]补=1.0111010 [x]移=0.0111010

(2) 先把十进制数23/128写成二进制小数:

(23/128)10=(10111/10000000)2=(10111×2-111)2=(0.0001011)2 令x=0.0001011B

∴ [x]原=0.0001011 [x]反=0.0001011

[x]补=0.0001011 [x]移=1.0001011

(3) 先把十进制数-127写成二进制小数:

(-127)10=(-1111111)2

令x= -1111111B

∴ [x]原=1.1111111 [x]反=1.0000000

[x]补=1.0000001 [x]移=1.0000001

(4) 令x=-1.000000B

∴原码、反码无法表示

[x]补=1.0000000 [x]移=0.0000000

(5) 令Y=-1=-0000001B

∴ [Y]原=10000001 [Y]反=11111110

2. 设[X]补= a0,a1,a2…a6 , 其中ai取0或1,若要x>-0.5,求a0,a1,a2,…,a6 的取值。

解:a0= 1,a1= 0, a2,…,a6=1…1。

3. 有一个字长为32位的浮点数,阶码10位(包括1位阶符),用移码表示;尾数22位(包括1位尾符)用补码表示,基数R=2。请写出:

(1) 最大数的二进制表示;

(2) 最小数的二进制表示;

(3) 规格化数所能表示的数的范围;

(4) 最接近于零的正规格化数与负规格化数。

解:(1)1111111111 0111111111111111111111

(2)1111111111 1000000000000000000000

(3)1111111111 0111111111111111111111~0111111111 1000000000000000000000

(4)0000000000 0000000000000000000001~0000000000 1111111111111111111111

4. 将下列十进制数表示成浮点规格化数,阶码3位,用补码表示;尾数9位,用补码表示

(1) 27/64

(2) -27/64

解:(1)27/64=11011B×=0.011011B=0.11011B×

浮点规格化数 : 1111 0110110000

(2) -27/64= -11011B×= -0.011011B= -0.11011B×

浮点规格化数 : 1111 1001010000

5. 已知X和Y,用变形补码计算X Y, 同时指出运算结果是否溢出(1)X=0.11011 Y=0.00011

(2)X= 0.11011 Y= -0.10101

(3)X=-0.10110 Y=-0.00001

解:(1)先写出x和y的变形补码再计算它们的和

[x]补=00.11011 [y]补=00.00011

[x y]补=[x]补 [y]补=00.11011 00.00011=0.11110

∴ x y=0.1111B 无溢出。

(2)先写出x和y的变形补码再计算它们的和

[x]补=00.11011 [y]补=11.01011

[x y]补=[x]补 [y]补=00.11011 11.01011=00.00110

(3)先写出x和y的变形补码再计算它们的和

[x]补=11.01010 [y]补=11.11111

[x y]补=[x]补 [y]补=11.01010 11.11111=11.01001

∴ x y= -0.10111B 无溢出

6. 已知X和Y, 用变形补码计算X-Y, 同时指出运算结果是否溢出

(1) X=0.11011 Y= -0.11111

(2) X=0.10111 Y=0.11011

(3) X=0.11011 Y=-0.10011

解:(1)先写出x和y的变形补码,再计算它们的差

[x]补=00.11011 [y]补=11.00001 [-y]补=00.11111

[x-y]补=[x]补 [-y]补=00.11011 00.11111=01.11010

∵运算结果双符号不相等∴为正溢出

X-Y= 1.1101B

(2)先写出x和y的变形补码,再计算它们的差

[x]补=00.10111 [y]补=00.11011 [-y]补=11.00101

[x-y]补=00.10111 11.00101=11.11100

∴ x-y= -0.001B 无溢出

(3)先写出x和y的变形补码,再计算它们的差

[x]补=00.11011 [y]补=11.01101 [-y]补=00.10011

[x-y]补=[x]补 [-y]补=00.11011 00.10011=01.01110 ∵运算结果双符号不相等∴为正溢出

X-Y= 1.0111B

7. 用原码阵列乘法器、补码阵列乘法器分别计算X×Y。(1)X=0.11011 Y= -0.11111

(2)X=-0.11111 Y=-0.11011

解:(1)用原码阵列乘法器计算:

[x]补=0.11011 [y]补=1.00001

(0) 1 1 0 1 1

×) (1) 0 0 0 0 1

----------------------------------

(0) 0 0 0 0 0

(0) 0 0 0 0 0

(0) 0 0 0 0 0

(0) 0 0 0 0 0

(0) (1) (1) (0) (1) (1)

----------------------------------------- (1) 0 0 1 0 1 1 1 0 1 1

[x×y]补=1.0010111011

∴ x×y= -0.1101000101

8.用原码阵列除法器计算 X÷Y。

(1)X=0.11000 Y= -0.11111

(2)X=-0.01011 Y=0.11001

解:(1)[x]原=[x]补=0.11000 [-∣y∣]补=1.00001 被除数 X 0.11000

[-∣y∣]补 1.00001

----------------------

余数为负 1.11001 →q0=0

左移 1.10010

[|y|]补 0.11111

----------------------

余数为正 0.10001 →q1=1

左移 1.00010

[-|y|]补 1.00001

----------------------

余数为正 0.00011 →q2=1

左移 0.00110

[-|y|]补 1.00001

---------------------- 余数为负 1.00111 →q3=0 左移0.01110 [|y|]补0.11111 ---------------------- 余数为负 1.01101 →q4=0 左移0.11010 [|y|]补0.11111 ---------------------- 余数为负 1.11001 →q5=0 [|y|]补0.11111 ---------------------- 余数 0.11000 故 [x÷y]原=1.11000 即 x÷y= -0.11000B

余数为 0.11000B×

9. 设阶为5位(包括2位阶符), 尾数为8位(包括2位数符), 阶码、尾数均用补码表示, 完成下列取值的[X Y],[X-Y]运算:

(1)X=

(2)X=×0.100101 Y=×(-0.010110) Y=×(-0.011110) ×(0.010110)

解:(1)将y规格化得:y=

×(-0.111100) [x]浮=1101,00.100101 [y]浮=1101,11.000100 [-y]浮=1101,00.111100 ①对阶[ΔE]补=[Ex]补[-Ey]补=1101 0011=0000 ∴Ex=Ey ②尾数相加相加相减

00.100101 00.100101 11.000100 00.111100 ------------ -------------- 11.101001

01.100001 [x y]浮=1101,11.101001 左规 [x y]浮=1100,11.010010

×(-0.101110) ∴ x y=

[x-y]浮=1101,01.100001 右规 [x-y]浮=1110,00.1100001

舍入处理得 [x-y]浮=1110,00.110001

∴ x-y=×0.110001

(2) [x]浮=1011,11.101010 [y]浮=1100,00.010110 [-y]浮=1100,11.101010 ①对阶

[ΔE]补=[Ex]补 [-Ey]补=1011 0100=1111

计算机组成原理实验课程大纲

《计算机组成原理实验》课程大纲 一、 课程基本情况 二、 教学目标 《计算机组成原理实验》是配合《计算机组成原理》的理论教学中计算机硬件系统的组成、各部件的结构和工作原理而设置的教学内容,是相应教学内容的配套课程,是计算机组成原理的重要环节。 通过本课程的各项实验,使同学进一步掌握计算机各部件的基本原理和结构,掌握计算

机各部件的基本设计方法和实验方法,帮助同学建立计算机时间-空间的整体概念,巩固课堂知识,初步培养学生的实验操作能力和分析解决问题能力。 三、 课程简介 配合理论教学,提供了不同类型(如验证型、设计型、综合型)的共七个实验单元。实验内容分为部件实验和综合实验,由浅入深,循序渐进。部件实验主要包括存储器,运算单元,微控制器等,综合实验分别为8位CISC CPU设计和32位RISC CPU——MIPS-C设计。这些实验承接先开的《数字逻辑》实验,并为后续的《计算机接口与通讯技术》实验奠定坚实的基础。 四、 实验教学内容及其基本要求 课程实验(一) 实验名称:存储器与运算器高级设计(4学时,验证型和设计型) 实验目的:了解存储器、运算器的电路结构和工作原理。掌握FPGA中先进先出存储器LPM_FIFO 的功能、工作特性、测试方法和读写方法;了解FPGA中LPM_FIFO的功能,掌握LPM_FIFO的参数设置和使用方法。掌握FPGA与外部RAM的硬件接口技术,通过FPGA控制,向外部RAM写入数据,通过FPGA控制,从外部RAM读出数据,并且利用数码管显示读出的数据。利用Verilog HDL语言编程设计带进位算术逻辑运算单元,移位运算器。验证带进位控制的算术运算功能发生器的功能,按指定数据完成集中指定的算术运算。验证移位运算器的组合功能。 实验内容: (1)采用LPM宏单元设计一个先进先出存储电路FIFO,增加“空”、“未满”、“满” 设计仿真波形并进行分析和验证。根据实验电路选择适当的模式,设计相应的引脚锁定方案,并下载到实验台。观察并记录当LPM_FIFO为“空”、“未满”、“满”是,各种输出信号的变化情况。 (2)用FPGA与外部RAM接口,设计一个实现对外部RAM的读写控制电路,设计仿真波形并进行分析和验证。根据实验电路选择适当的模式,设计相应的引脚锁定

计算机组成原理考试题库

计算机原理考试题库 一、选择题 1、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 2、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 3、完整的计算机系统应包括D。 A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机存储数据的基本单位为A。 A、比特Bit B、字节Byte C、字组Word D、以上都不对 5、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 6、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 7、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 8、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 9、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 10、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 11、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 12、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 13、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 14、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 15、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 16、存放欲执行指令的寄存器是D。 A、MAE B、PC C、MDR D、IR 17、用以指定待执行指令所在地址的是C。

《计算机组成原理》练习题

《微机组成原理》练习题 第一章计算机系统概论 一、选择题 1、冯.诺依曼机工作方式的基本特点是() A.多指令流单数据流B.按地址访问并顺序执行指令 C.堆栈操作D.存储器按内容选择地址 2、电子计算机的算术/逻辑单元、控制单元及主存储器合称为() A、CPU B、ALU C、主机 D、CU 3、完整的计算机系统应包括() A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机系统中的存储系统是指() A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 5、用以指定待执行指令所在地址的是() A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 6、微型计算机的发展以()技术为标志。 A.操作系统B.微处理器C.磁盘D.软件 7、存储单元是指() A.存放在一个字节的所有存储元集合B.存放一个存储字的所有存储元集合 C.存放一个二进制信息位的存储元集合D.存放一条指令的存储元集合 8、存储字长是指() A.存放在一个存储单元中的二进制代码组合B.存放在一个存储单元中的二进制代码位数C.存储单元的个数D.机器指令的位数 9、存放欲执行指令的寄存器是() A.MAR B.PC C.MDR D.IR 10、在CPU中跟踪指令后继地址的寄存器是() A.MAR B.PC C.MDR D.IR 二、填空题 1、()和()都存放在存储器中,()能自动识别它们。 2、存储器可分为主存和(),程序必须存于()内,CPU才能执行其中的指令。 3、存储器的容量可以用KB、MB、GB表示,它们分别代表()、()、()。 4、计算机硬件的主要技术指标包括()、()、()。 5、在用户编程所用的各种语言中,与计算机本身最为密切的语言是()。 6、汇编语言是一种面向()的语言,对()依赖性强,用汇编语言编制的程序执行速度比高级 语言()。 7、有些计算机将一部分软件永恒地存于只读存储器中,称为()。 8、基于()原理的()计算机工作方式的基本特点是按地址访问并顺序执行指令。 三、简答题 1、冯.诺依曼计算机体系结构的基本思想是什么?按此思想设计的计算机硬件系统由哪些部件组成?

计算机组成原理课后习题答案解析

作业解答 第一章作业解答 1.1 基本的软件系统包括哪些内容? 答:基本的软件系统包括系统软件与应用软件两大类。 系统软件是一组保证计算机系统高效、正确运行的基础软件,通常作为系统资源提供给用户使用。包括:操作系统、语言处理程序、数据库管理系统、分布式软件系统、网络软件系统、各种服务程序等。 1.2 计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 答:计算机的硬件系统通常由输入设备、输出设备、运算器、存储器和控制器等五大部件组成。 输入设备的主要功能是将程序和数据以机器所能识别和接受的信息形式输入到计算机内。 输出设备的主要功能是将计算机处理的结果以人们所能接受的信息形式或其它系统所要求的信息形式输出。 存储器的主要功能是存储信息,用于存放程序和数据。 运算器的主要功能是对数据进行加工处理,完成算术运算和逻辑运算。 控制器的主要功能是按事先安排好的解题步骤,控制计算机各个部件有条不紊地自动工作。 1.3 冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 答:冯·诺依曼计算机的基本思想包含三个方面: 1) 计算机由输入设备、输出设备、运算器、存储器和控制器五大部件组成。 2) 采用二进制形式表示数据和指令。 3) 采用存储程序方式。 存储程序是指在用计算机解题之前,事先编制好程序,并连同所需的数据预先存入主存储器中。在解题

过程(运行程序)中,由控制器按照事先编好并存入存储器中的程序自动地、连续地从存储器中依次取出指令并执行,直到获得所要求的结果为止。 1.4 早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 答:早期计算机组织结构的特点是:以运算器为中心的,其它部件都通过运算器完成信息的传递。 随着微电子技术的进步,人们将运算器和控制器两个主要功能部件合二为一,集成到一个芯片里构成了微处理器。同时随着半导体存储器代替磁芯存储器,存储容量成倍地扩大,加上需要计算机处理、加工的信息量与日俱增,以运算器为中心的结构已不能满足计算机发展的需求,甚至会影响计算机的性能。为了适应发展的需要,现代计算机组织结构逐步转变为以存储器为中心。 1.5 什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 答:总线是一组可为多个功能部件共享的公共信息传送线路。 总线的主要特点是共享总线的各个部件可同时接收总线上的信息,但必须分时使用总线发送信息,以保证总线上信息每时每刻都是唯一的、不至于冲突。 使用总线实现部件互连的好处: ①可以减少各个部件之间的连线数量,降低成本; ②便于系统构建、扩充系统性能、便于产品更新换代。 1.6 按其任务分,总线有哪几种类型?它们的主要作用是什么? 答:按总线完成的任务,可把总线分为:CPU内部总线、部件内总线、系统总线、外总线。 1.7 计算机的主要特点是什么? 答:计算机的主要特点有:①能自动连续地工作;②运算速度快;③运算精度高;④具有很强的存储能力

计算机组成原理教材考试习题解答

计算机组成原理蒋本珊编著教材习题解答 第1章概论 1?电子数字计算机和电子模拟计算机的区别在哪里? 解:电子数字计算机中处理的信息是在时间上离散的数字量,运算的过程是不连续的;电子模拟计算机中处理的信息是连续变化的物理量,运算的过程是连续的。 2?冯?诺依曼计算机的特点是什么?其中最主要的一点是什么? 解:冯?诺依曼计算机的特点如下: ①计算机(指硬件)应由运算器、存储器、控制器、输入设备和输出设备五大基本部件组成; ②计算机内部采用二进制来表示指令和数据; ③将编好的程序和原始数据事先存入存储器中,然后再启动计算机工作。 第③ 点是最主要的一点。 3?计算机的硬件是由哪些部件组成的?它们各有哪些功能? 解:计算机的硬件应由运算器、存储器、控制器、输入设备和输出设备五大基本部件组成。它们各自的功能是: ①输入设备:把人们编好的程序和原始数据送到计算机中去,并且将它们转换成计算机内部所能识别和接受的信息方式。 ②输出设备:将计算机的处理结果以人或其他设备所能接受的形式送出计算机。 ③存储器:用来存放程序和数据。 ④运算器:对信息进行处理和运算。 ⑤控制器:按照人们预先确定的操作步骤,控制整个计算机的各部件有条不紊地自动工作。 4?什么叫总线?简述单总线结构的特点。解:总线是一组能为多个部件服务的公共信息传送线路,它能分时地发送与接收各部件的信息。单总线结构即各大部件都连接在单一的一组总线上,这个总线被称为系统总线。CPU与主存、CPU与外设之间可以直接进行信息交换,主存与外设、外设与外设之间也可以直接进行信息交换,而无须经过CPU的干预。 9 5 ?简单描述计算机的层次结构,说明各层次的主要特点。解:现代计算机系统是一个硬件与软件组成的综合体,可以把它看成是按功能划分的多级层次结构。 第0级为硬件组成的实体。 第1 级是微程序级。这级的机器语言是微指令集,程序员用微指令编写的微程序一般是直接由硬件执行的。第2 级是传统机器级。这级的机器语言是该机的指令集,程序员用机器指令编写的程序可以由微程序进行解释。 第3 级是操作系统级。从操作系统的基本功能来看,一方面它要直接管理传统机器中的软硬件资源,另一方面它又是传统机器的延伸。 第4 级是汇编语言级。这级的机器语言是汇编语言,完成汇编语言翻译的程序叫做汇编程序。 第5 级是高级语言级。这级的机器语言就是各种高级语言,通常用编译程序来完成高级语言翻译的工作。

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理常考计算题

1.机器数字长为8位(含1位符号位),当X= -127 (十进制)时,其对应的二进制表示, (X)原表示,(X)反表示,(X)补表示,(X)移表示分别是多少? 二进制表示为 -01111111 [X]原 = 11111111 [X]反 = 10000000 [X]补 = 10000001 [X]移 = 00000001 2.已知x=0.1011,y=-0.0101,求x+y=?,x-y=? [x]补=00.1011 [x]补=00.1011 +[y]补=11.1011 +[-y]补=00.0101 00.0110 01.0000 x+y=+0.0110 x-y产生溢出 3.用16k×8位的SRAM芯片构成64K×16位的存储器,要求画出该存储器的组成逻辑框图。 存储器容量为64K×16位,其地址线为16位(A15—A0),数据线也是16位(D15—D0)SRAM芯片容量为16K×8位,其地址线为14位,数据线为8位,因此组成存储器时须字位同时扩展。字扩展采用2 :4译码器,以16K为一个模块,共4个模块。位扩展采 4.提高存储器速度可采用哪些措施,请说出至少五种措施。 措施有:①采用高速器件,②采用cache (高速缓冲存储器),③采用多体交叉存储器, ④采用双端口存储器,⑤加长存储器的字长。 5.若机器字长36位,采用三地址格式访存指令,共完成54种操作,操作数可在1K地址 范围内寻找,画出该机器的指令格式。

操作码需用6位,操作数地址码需用10位。格式如下 OP:操作码6位 D1:第一操作数地址,10位 D2:第二操作数地址,10位 D3:第三操作数地址,10位 6.举例说明存储器堆栈的原理及入栈、出栈的过程。 所谓存储器堆栈,是把存储器的一部分用作堆栈区,用SP表示堆栈指示器,M SP表示堆栈指示器指定的存储器的单元,A表示通用寄存器。 入栈操作可描述为(A)→M SP,(SP-1)→SP 出栈操作可描述为(SP+1)→SP,(M SP)→A 7.试画出三总线系统的结构图。 8.若显示工作方式采用分辨率为1024×768,颜色深度为3B,桢频为72Hz,计算刷新存储 器带宽应是多少? 解:刷存所需带宽=分辨率×每个像素点颜色深度×刷新速率,故刷存带宽为: 1024×768×3B×72/s=165888KB/s=162MB/s. 1.求十进制数-113的原码表示,反码表示,补码表示和移码表示(用8位二进制表示, 并设最高位为符号位,真值为7位)。 原码 11110001 反码 10001110 补码 10001111 移码 00001111 2.某机指令格式如图所示: 15 10 9 8 7 0

计算机组成原理教学大纲

《操作系统原理》教学大纲 课程类别:专业必修课课程代码:ZBB061020-20140008 总学时:68(理论学时:32,实践学时32)学分:3 适用专业:计算机科学与技术、软件工程 先修课程:高级程序设计语言、数据结构、电路与电子技术、数字电子技术。 一、课程说明 《计算机组成原理》是计算机科学与技术专业本科生的一门专业主干课程,同时也是进一步研究计算机体系结构与实现方法的前导课程。 课程的重点是讲授单处理机系统的一般组成原理与内部运行机理。通过本课程的学习,使学生理解单处理机系统的组成结构以及各功能部件的组成和工作原理,帮助学生建立计算机的整机概念,使学生初步具备设计简单计算机系统的能力,并对一些新技术、新产品以及计算机硬件的发展方向有一定的了解,从而为进一步学习计算机本专业后继课程和进行与硬件有关的技术工作打下基础。 二、课程教学要求 本课程以教育部1999年批准的“面向21世纪课程教材”中的《计算机组成原理》教学大纲为要求,结合运城学院计算机科学与技术系学生的特点,将相应的体系结构分为四大部分:计算机系统概述、计算机系统硬件结构、中央处理器(CPU)、控制单元(CU)。 教学难点:进程的同步与互斥;死锁;进程调度算法;地址转换;页式管理。 教学方法:启发式教学和上机实践相结合 三、教学内容与学时分配 理论教学内容与学时分配 第一章计算机系统概论 本章是学习计算机工作原理的基础。目的是让学生对计算机的组成有一个全面的概括的了解。 了解:硬件和软件的概念、计算机组成和计算机体系结构的不同。 熟练掌握:计算机系统的层次结构;准确画出计算机的硬件框图,并能解释其工作的过程;能深刻理解硬件的主要技术指标,并用这些技术指标描述常见硬件的性能。 重点:计算机的基本硬件组成、计算机的工作过程、硬件的主要技术指标 第二章计算机的发展及应用

计算机组成原理试题库(含答案)

计算机组成原理试题 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 2.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C)。 A.64K B.32KB C.32K D.16KB 3.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C)。 A.21 B.17 C.19 D.20 4.指令系统中采用不同寻址方式的目的主要是(C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度

5.寄存器间接寻址方式中,操作数处在(B)。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 6.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路 C.复杂指令计算机 D.超大规模集成电路 7.CPU响应中断的时间是_C_____。 A.中断源提出请求;B.取指周期结束;C.执行周期结束;D.间址周期结束。8.常用的虚拟存储器寻址系统由____A__两级存储器组成。 A.主存-辅存;B.Cache-主存;C.Cache-辅存;D.主存—硬盘。 9.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作__A____。 A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。10.浮点数的表示范围和精度取决于__C____。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数;

计算机组成原理计算题设计题

1.IEEE 754 format of X is (41360000)16, what is its decimal value? 将十六进制数展开,可得二进制数格式为: 0 100 0001 0 011 0110 0000 0000 0000 0000 指数e=阶码-127=10000010-01111111= 00000011 =(3)10 包括隐藏位1的尾数1.M = 1.011 0110 0000 0000 0000 0000 = 1.011011 于是有:X = (-1)s * 1.M * 2e = +(1.011011)2 * 23 = + (1011.011)2= (11.375)10 2.Let the carry bits of an adder are C4, C3, C2, C1. C0 is the carry from the low bit. Please give the logic expressions of C4, C3, C2, C1 in ripple carry mode and carry look ahead mode respectively. (1)串行进位 G1 = A1B1 , P1 = A1 ⊕ B1 G2 = A2B2 , P2 = A2 ⊕ B2 G3 = A3B3 , P3 = A3 ⊕ B3 G4 = A4B4 , P4 = A4 ⊕ B4 C1 = G1 + P1P0 C2 = G2 + P2C1 C3 = G3 + P3C2 C4 = G4 + P4C3 (2)并行进位 C1 = G1 + P1C0 C2 = G2 + P2G1 + P2P1C0 C3 = G3 + P3G2 + P3P2G1 + P3P2P1C0 G4 = G4 + P4G3 + P4G3G2 + P4P3P2G1 + P4P3P2P1C0 3.Suppose a computer with a clock frequency of 100 MHz as four types of instructions, and the frequency of usage and the CPI for each of them are given in table. Instruction operation Frequency of usage Cycles per instruction Arithmetic-logic 40% 2 Load/store 30% 4 compare 8% 2.5 branch 22% 3 (1)Find the MIPS of the computer and the T (CPU time) required to run a program of 107 instructions. (2) Combining comparing and branch instructions together so that compare instructions can be replaced and removed. Suppose each compare instruction was originally used with one branch instruction, and now each branch instruction is changed to a compare&branch instruction. Also suppose that the new proposal would decrease the clock frequency by 5%, because the new compare&branch instruction needs more time to execute. Find the new CPIave, MIPS, and T.

计算机组成原理第二版课后习题详细答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理试题库集及答案

计算机组成原理试题库集及答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按地址访问; 指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行; 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义:

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计算机组成原理课后答案

… 第一章计算机系统概论 什么是计算机系统、计算机硬件和计算机软件硬件和软件哪个更重要 计算机系统:计算机硬件、软件和数据通信设备的物理或逻辑的综合体 计算机硬件:计算机的物理实体 计算机软件:计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 如何理解计算机系统的层次结构 实际机器M1向上延伸构成了各级虚拟机器,机器M1内部也可向下延伸而形成下一级的微程序机器M0,硬件研究的主要对象归结为传统机器M1和微程序机器M0,软件研究对象主要是操作系统及以上的各级虚拟机 》 说明高级语言、汇编语言和机器语言的差别及其联系。 机器语言是可以直接在机器上执行的二进制语言 汇编语言用符号表示指令或数据所在存储单元的地址,使程序员可以不再使用繁杂而又易错的二进制代码来编写程序 高级语言对问题的描述十分接近人们的习惯,并且还具有较强的通用性 如何理解计算机组成和计算机体系结构 计算机体系结构是对程序员可见的计算机系统的属性 计算机组成对程序员透明,如何实现计算机体系结构所体现的属性 冯·诺依曼计算机的特点是什么 。 由运算器、控制器、存储器、输入设备、输出设备五大部件组成 指令和数据以同一形式(二进制形式)存于存储器中 指令由操作码、地址码两大部分组成 指令在存储器中顺序存放,通常自动顺序取出执行 以运算器为中心(原始冯氏机) 画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 计算机硬件各部件 运算器:ACC, MQ, ALU, X ' 控制器:CU, IR, PC 主存储器:M, MDR, MAR I/O设备:设备,接口 计算机技术指标: 机器字长:一次能处理数据的位数,与CPU的寄存器位数有关 存储容量:主存:存储单元个数×存储字长 运算速度:MIPS, CPI, FLOPS 解释概念 & 主机:计算机硬件的主体部分,由 CPU+MM(主存或内存)组成 CPU:中央处理器,是计算机硬件的核心部件,由运算器+控制器组成 主存:计算机中存放正在运行的程序和数据的存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成

计算机组成原理习题及答案54686word版本

计算机组成原理习题及答案54686

概论 一、选择题: 1.1946年研制成功的第一台电子数字计算机称为_B_。A.EDVAC B.ENIAC C.EVNAC D.EINAC 2.完整的计算机系统应包括__D_____.A..运算器、存储器、控制器 B.外部设备和主机 C.主机和存储器 D.配套的硬件和软件设备 3.计算机系统中的存储器系统是指__D____.A.RAM存储器 B.ROM存储器 C.内存储器 D.内存储器和外存储器 4.至今为止,计算机中的所有信息仍以二进制方式表示的理由是_C_____. A..节约元件 B.运算速度快 C.物理器件性能所致 D.信息处理方便 5.计算机硬件能直接执行的只有_B___. A.符号语言 B.机器语言 C.机器语言和汇编语言 D.汇编语言 二、填空题: 1.计算机的硬件包括__运算器_._控制器_._存储器_._输入设备_._输出设备__. 2.在计算机术语中,将运算器和控制器合在一起称为_CPU__,而将_CPU__和存储器合在一起称为__主机__. 3.计算机的软件一般分为两大类:一类叫_系统__软件,一类叫_应用__软件,其中,数据库管理系统属于_系统_软件,计算机辅助教学软件属于__应用___软件. 4.计算机系统中的存储器分为_内存储器_和_外存储器_.在CPU执行程序时,必须将指令存放在_内存储器__中. 5.输入、输出设备以及辅助存储器统称为_外部设备___. 6.计算机存储器的最小单位为__位___,1KB容量的存储器能够存储_1024*8__个这样的单位. 7.在计算机系统中,多个系统部件之间信息传送的公共通路称为__总线___,就其所传送的信息的性质而言,在公共通路上传送的信息包括_数据__、__地址__和__控制___信息. 三、衡量计算机性能的基本指标有哪些? 答:1.基本字长 2.数据通路宽度 3.运算速度:包括CPU时钟频率和数据传输率 4.存储器的容量:包括主存储器的容量和外存储器的容量 5.外围设备及其性能 6.系统软件配置运算方法和运算器 一、选择题: 1.在机器数中,__B____的零的表示形式是唯一的. A.原码 B.补码 C.反码 D.原码和反码 3.若某数X的真值为-0.1010,在计算机中该数表示为1.0110,则该数所用的编码方法__B__码. A.原 B.补 C.反 D.移 4.运算器虽有许多部件组成,但核心部分是__B____. A.数据总路线 B.算术逻辑运算单元 C.多路开关 D.通用寄存器 5.在定点二进制运算器中,减法运算一般通过__D_____来实现. A.原码运算的二进制减法器 B.补码运算的二进制减法器 C.补码运算的十进制加法器 D.补码运算的二进制加法器

计算机组成原理复习题及参考答案(AB)

《计算机组成原理》课程复习资料 一、选择题: 1.定点运算器用来进行 [ ] A.十进制数加法运算 B.定点数运算 C.浮点数运算 D.即进行定点数运算也进行浮点数运算 2.某SRAM芯片,其存储容量为64K×16位,该芯片的地址线和数据线数目为 [ ] A.64,16 B.16,64 C.64,8 D.16,16 3.目前的计算机中,代码形式是 [ ] A.指令以二进制形式存放,数据以十进制形式存放 B.指令以十进制形式存放,数据以二进制形式存放 C.指令和数据都以二进制形式存放 D.指令和数据都以十进制形式存放 4.采用DMA方式传送数据时,每传送一个数据就要用一个 [ ] A.指令周期 B.数据周期 C.存储周期 D.总线周期 5.冯·诺依曼机工作方式的基本特点是 [ ] A.多指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存储器按内容选择地址 6.某机字长32位。其中1位符号位,31位表示尾数。若用定点整数表示,则最大正整数为 [ ] A.+(231-1) B.+(230-1) C.+(231+1) D.+(230+1) 7.下列数中最大的数是 [ ] A.(100110001)2 B.(227)8 C.(98)16 D.(152)10 8.哪种表示法主要用于表示浮点数中的阶码? [ ] A.原码 B.补码 C.反码 D.移码 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的时间较长,因此机器周期通常用下列哪个 来规定 [ ] A.主存中读取一个指令字的最短时间 B.主存中读取一个数据字的最长时间 C.主存中写入一个数据字的平均时间 D.主存中取一个数据字的平均时间 10.下面叙述的概念中哪个是正确的 [ ] A.总线一定要和接口相连 B.接口一定要和总线相连 C.通道可以代替接口 D.总线始终由CPU控制和管理 11.在定点二进制运算器中,减法运算一般通过下列哪个来实现 [ ] A.原码运算的二进制减法器 B.补码运算的二进制减法器 C.补码运算的十进制加法器 D.补码运算的二进制加法器 12.下列有关运算器的描述中哪个是正确的 [ ] A.只作算术运算,不作逻辑运算 B.只作加法 C.能暂时存放运算结果 D.以上答案都不对 13.某DRAM芯片,其存储容量为512K×8位,该芯片的地址线和数据线数目为 [ ] A.8,512 B.512,8 C.18,8 D.19,8 14.完整的计算机系统应包括 [ ] A.运算器存储器控制器 B.外部设备和主机 C.主机和应用程序 D.配套的硬件设备和软件系统 15.没有外存储器的计算机初始引导程序可以放在 [ ] A.RAM B.ROM C.RAM和ROM D.CPU 二、名词解释: 1.CPU周期 2.存取时间 3.存储设备数据传输率

计算机组成原理题库

、下列描述中正确的是 A控制器能理解、解释并执行所有的指令及存储结果 B一台计算机包括输入、输出、控制、存储及算术逻辑运算五个部件 C所有的数据运算都在CPU的控制器中完成 D以上答案都正确 4、有一些计算机将一部分软件永恒的存于只读存储器中,称之为 A硬件 B软件 C固件 D辅助存储器 E以上都不对 5、输入、输出装置以及外接的辅助存储器称为() A操作系统 B存储器 C主机 D外围设备 7、完整的计算机系统应包括() A运算器、存储器、控制器 B外部设备和主机 C主机和实用程序 D配套的硬件设备和软件系统 8、计算机系统中的存储系统是指() A .RAM存储器存储器 C.主存 D.主存和辅存 19、计算机的算术逻辑单元和控制单元合称为() A. ALU B. UP C. CPU D. CAD 35、储存单元是指() A.存放一个字节的所有存储集合 B.存放一个储存字的所有存储集合 C.存放一个二进制信息的存储集合 D.存放一条指令的存储集合 36、存储字是指() A.存放在一个存储单元中的二进制代码组合 B.存放在一个存储单元中的二进制代码位数 C.存储单元的集合 D.机器指令 39、存放执行执行指令的寄存器是() 有些计算机将一部分软件永恒地存于只读存储器中,称为(A) 15.计算机将存储,算逻辑运算和控制三个部分合称为(A),再加上(B)和(C)就组成了计算机硬件系统。 目前被广泛使用的计算机是()

A.数字计算机 B.模拟计算机 C.数字模拟混合式计算机 D.特殊用途计算机 9.个人计算机(PC)属于()类计算机。 A.大型计算机 B.小型机 C.微型计算机 D.超级计算机、操作系统最早出现在第(A)代计算机上。 计算机使用总线结构便于增减外设,同时() A.减少了信息传输量 B.提高了信息的传输速度 C.减少了信息传输线的条数 2.计算机使用总线结构的主要优点是便于实现积木化,缺点是() A.地址信息,数据信息和控制信息不能同时出现 B.地址信息与数据信息不能同时出现 C.两种信息源的代码在总线中不能同时传送 5.在三中集合式总线控制中,()方式响应时间最快。 A.链式查询 B.计数器定时查询 C.独立请求 8.三种集合式总线控制中,()方式对电路故障最敏感的 A.链式查询 B.计数器定时查询 C.独立请求 13.在独立请求方式下,若有N个设备,则() A.有一个总线请求信号和一个总线响应信号 B.有N个总线请求信号和N个总线响应信号 C.有一个总线请求信号和N个总线响应信号 14.在链式查询方式下,若有N个设备,则() A.有N条总线请求线 B.无法确定有几条总线请求线 C.只有一条总线请求线

计算机组成原理计算题

8、用二进制数表示一个四位十进制的整数最少需要几位(不含符号位)。 解:N=4×1/㏒ 2=14 位。 9、某机器字长 16 位,浮点表示时,其中含 1 位阶符、5 位阶码、1 位尾符、9 位尾数,请 写出它能表示的最大浮点数和最小浮点数。 解:最大浮点数=2+21×(1-2-9) 最小浮点数=-2+31×(1-2-9)。 10、字符“F”的 ASCII 码为 46H,请写出它的奇校验码和偶校验码(假定校验位加在最高位)。 解:字符“F”的 ASCII 码为 46H,奇校验码为 10110110(B6H),偶校验码为 00110110(36H)。 1、设有一个具有 24 位地址和 8 位字长的存储器,求: (1)该存储器能存储多少字节的信息? (2)若存储器由 4M×1 位的 RAM 芯片组成,需要多少片? (3)需要哪种译码器实现芯片选择? 解:⑴ 存储单元数为 2 24=16M=16777216,故能存储 16M 字节的信息。 ⑵ 由于存储容量为 16MB(8 位字长),每 4M 字节需要 4 片(位并联方式),故需芯片数 为 16/4×8=32 片。 ⑶ 若用 32 片组成一个 16M(8 位字长),地址总线的低 22 位可直接连到芯片的 A0-A21管脚,而地址总线的高 2 位(A22,A23)需要通过 2:4 线译码器进行芯片选择。存储器组 成方案为位并联和地址串联相结合的方式。 存储器 24 位地址(A23-A0),而单个芯片 22 位地址(A21-A0), 32 片,8 个芯片一组,共 4 组。所以采用 2:4 译码器。 组成方案为:地址串联,位并联。 4、某磁盘存储器的转速为 3000 转/分,共有 4 个记录面,每毫米 5 道,每道记录信息为 12288B, 最小磁道直径为 230mm,共有 275 道.问: (1) 磁盘存储器的存储容量是多少? (2) 最大位密度,最小位密度是多少? (3) 磁盘数据传输率是多少?

相关文档
相关文档 最新文档