文档库 最新最全的文档下载
当前位置:文档库 › 数电课程设计-彩灯循环电路

数电课程设计-彩灯循环电路

数电课程设计-彩灯循环电路
数电课程设计-彩灯循环电路

时序逻辑电路课程设计

一、设计要求

设计彩灯循环电路,用16只LED构成一个彩灯组,共有三种工作模式:

(1)两只亮,两只灭,流水移动。

(2)以4只灯为一组,每组的4只灯依次点亮为一个循环,每次只亮一只灯。

(3)以4只灯为一组,每组的4只灯依次点亮,先点亮的保持,待全部亮后,同时熄灭,再开始下次的循环。

要求:输入start有效时,三种工作模式自动轮流循环,每种方式工作10次后,切换到下一模式。输入stop有效时,停止,并保持全亮。(此题能用multisim10.0或proteus7.5仿真实现最好,时钟频率10Hz)

要求:输入start有效时,三种工作模式自动轮流循环,每种方式工作10次后,切换到下一模式。输入stop有效时,停止,并保持全亮。(此题能用multisim10.0或proteus7.5仿真实

二、设计思路

所有循环中都是以4个灯泡为一组实行的,所以可以将16个灯泡

分为4组.每组4个,每一组用一个电路,具体实现方法如下.

循环1:利用74163的二进制自动计数循环,一个输出端控制两个灯泡可实现循环1中的两灯泡开关循环交替.

循环2:利用74194的移动功能将单个”1”在四个输出端循环移动可实现循环2中的依次点亮4个灯泡.

循环3:与循环2类似利用74194的右移功能和复位功能,先将4个输出端全部输出“1”再复位为”0”实现4个灯依次全亮再全灭.

循环之间的切换:利用74163的计数功能每计10个数计为一个循环,再利用74138的选择输出功能,每完成一次计数换一个输出端进入另一个循环.由于计数之后要清零需要在每个技术器后加74373作为锁存器使用从而使端口切换完成.

三、原理图

74x138真值表

四、仿真结果1循环部分

1进2

2循环部分

2进3

3循环部分

stop

五、总结及心得体会

我认为这次课程设计的难点在于数据选择的处理上面,要先分析好哪次的数据哪一路需要,再用多路分配器分配到该路。至于时序部分的设计我倒是觉得比较容易。

通过这次课程设计我对于时序电路的设计有了基本的思路,对

于半期之后的学习是一个很好的梳理和总结的机会。

基于multisim的LED循环彩灯课程设计

课程设计报告 题目:基于Multisim的LED 循环彩灯设计与仿真课程名称:电子技术课程设计 学生姓名:程娅 学生学号:1414020205 年级:2014级 专业:电子信息工程 班级:2班 指导教师:王丽 电子工程学院制 2016年5月

基于Multisim的LED循环彩灯设计与仿真 学生:程娅 指导教师:王丽 电子工程学院电子信息工程专业 1 课程设计的任务与要求 1.1 课程设计的任务 (1)综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用。 (2)深入了解交通灯的工作原理。 (3)锻炼自己的动手能力和实际解决问题的能力。 (4)掌握multisim软件的操作并对设计进行仿真。 (5)通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 1.2 课程设计的要求 (1)有十只LED,L0 (9) (2)显示方式: ①先奇数灯依次亮; ②再偶数灯依次亮; ③依次循环变化。 (3)显示间隔0.5s,1s可调。 2 LED循环彩灯系统方案制定 2.1 LED循环彩灯电路设计的原理 多谐振荡器是产生矩形脉冲的典型电路,常用来做脉冲信号源。多谢振荡器没有输入端,接通电源便自激振荡。多谢振荡器起振之后,电路没有稳态,只有两个暂稳态,他们交替变化,输出连续的矩形脉冲信号。 用555定时器构成的多谢振荡器,先用555定时器构成施密特触发器,然后将施密特触发器的输出端经RC积分电路接到施密特触发器的输入端。可以通过调节RC的大小来产生所需周期大小的脉冲信号。 2.2 LED循环彩灯电路的设计方案

根据设计要求,可画出此电路的整体框架图,如图1所示。 图1 系统实现流程 3 单元电路设计与分析 3.1 各功能模块的电路设计 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图2所示。 图2 振荡电路

课程设计循环彩灯

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名:听风 学号:2010020200XX 专业班级:10级电子信息工程(1)班 指导教师: 2012 年5 月25日

课程设计任务书设计题目循环彩灯 学生姓名XX 所在院系电子信 息与电 气工程 学院 专业、年级、班 10级电子信息 工程(1)班 设计要求: 1、设计制作一个循环彩灯电路。 2、彩灯数量为8个,8个彩灯依次闪烁,彩灯亮灯时间为1秒。 3、器件:74LS192、74LS138、74LS00、555定时器各一片,48kΩ ,300 Ω,51kΩ,10uf,0.01uf各一个。 学生应完成的工作: 根据原理进行设计,方案论证,完成循环彩灯的原理图设计及PCB板的制作,手动布线完成PCB板图。然后将修改无误的PCB板图复制,转印,做板。再按照自己设计的电路原理图把电子元器件焊接到电路板上。经调试能正常工作,老师考查合格后,写好设计报告。 参考文献阅读: [1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005. [2] 郑君里、杨为理信号与系统[M]北京:高等教育出版社,2011 [3] 谷树忠、刘文洲、姜航Altium Designer 教程北京:电子工业出版社,2006 [4]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006. [5]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005. 工作计划: 2012年5月14日上午:课程设计说明、软件培训。下午:Multism电路仿真。 2012年5月15日:电路设计与仿真。 2012年5月16日上午:电路设计与仿真。下午:指导教师单独指导。 2012年5月17日:用Altium Designer 10设计原理图。 2012年5月18日:用Altium Designer 10设计PCB。 2012年5月21日上午:循环彩灯制版。下午:循环彩灯安装。 2012年5月22日:指导课程设计报告书写。 2012年5月23日:指导课程设计报告书写。 2012年5月24日:电路调试。 2012年5月25日:设计验收设计报告收交。 任务下达日期:2012 年 5 月14 日 任务完成日期:2012 年 5 月25 日 指导教师(签名):学生(签名):

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

LED循环彩灯课程设计

××学院 《模拟电子技术》课程设计 题目 LED循环彩灯 学生姓名××× 专业班级电科(3)班 学号 201231000 院(系)电气工程学院 指导教师××

完成时间 2013年12月17日

目录 1、课程设计的目的 (1) 2、课程设计的任务与要求 (2) 2.1实验器材 (2) 2.2电子器件的识别 (2) 2.3焊接技术 (2) 2.4元器件安装及要求: (3) 3、设计方案与论证 (3) 3.1功能分析与具体要求 (3) 3.2设计思路 (4) 4、设计原理及功能说明 (4) 5、单元电路的设计(计算与说明) (6) 6、硬件的制作与调试 (7) 7、总结 (10) 参考文献: (12)

附录1:总体电路原理图.......................错误!未定义书签。附录2:元器件清单.. (14)

1、课程设计的目的 当今世界,彩灯已经成为我们生活的一部分,能给我们带来视觉上的享受还能美化我们的环境。街角巷里,高楼大厦无处不是因它炫彩夺目以及控制简单等特点而得到了广泛的应用,用LED彩灯来装饰街道和城市建筑已经成为一种潮流。发光二极管简称为LED。它是一种能发光的半导体电子元件。这种电子元件早在1962年出现,早期只能发出低光度的红光,之后发展出其他单色光的版本,时至今日能发出的光已遍及可见光、红外线及紫外线,光度也提高到相当的光度。具有效率高、寿命长、不易破损、开关速度高、高可靠性等传统光源不及的优点。白光LED的发光效率,在近几年来已经有明显的提升。因此人们现在更侧重于用LED来照明以及装饰屋内及屋外环境,LED广泛应用与生活的各个方面,不断走进人们的生活也不断地改变着人们的生活。 本实验主要是结合我们以往所学课程的基础理论、基本技能和专业知识的能力,不仅要考虑总体电路的设计还要考虑系统各部分电路的选择、设计及它们之间的相互连接。主要目的是在实践中逐步培养我们建立正确的设计思想,掌握工程设计的一般程序、规范和方法。 (1)了解各种元器件的性能、作用和工作原理; (2)掌握一定的焊接技术以及简单元器件装配; (3)学习印刷电路板;

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

数字逻辑课设-循环彩灯系统设计

学生课程设计报告书 课程:数字逻辑 课题:循环彩灯系统设计 级计算机信息技术系 专业班 学号: 姓名: 指导教师: 2012—2013学年第 2 学期

循环彩灯系统设计 一、设计目的 1.设计一循环彩灯控制线路,在通过逻辑电平开关设置初始状态后,能实现8路LED彩灯循环亮灯。 2.综合应用数字逻辑知识设计一个循环彩灯系统。了解各种元器件的原理及其应用。 3.掌握multisim软件的操作并对设计进行仿真。 4.锻炼自己的动手能力和实际解决问题的能力。 二、设计任务与要求 1、设计任务 设计一循环彩灯控制线路,在通过逻辑电平开关设置初始状态后,能实现8路LED彩灯循环亮灯。 2、设计要求 1.利用Multisim仿真软件完成8路循环彩灯控制电路系统的设计,且发光二极管的亮度明显可见。 2.根据课设要求确定电路的形式,分析其工作原理,计算元件参数。 3.列出需要的元件清单,在仿真软件中找出并连接好线路。 4.在仿真软件上连接好点啦,并且测试,达到要求。 5.记录实验结果,使得彩灯有规律的发亮,按顺序显示彩灯,当一个循环结束,返回继续循环:绿--绿— 蓝—蓝—红—红—橙—橙,接着返回绿色循环 6.原件和芯片的放置合理,使得界面整洁美观,布线紧密合理。 三、8路彩灯循环系统电路原理及设计 1、设计方案 根据课设要求,循环彩灯系统,首先需要产生一定的脉冲信号来实现,通过采用555定时器通过链接成一个多谐振荡器,振荡电路来实现;各个彩灯发亮之间需要一定的显示时间,此功能可以通过添加D触发器来实现,然后用74LS160计数器转换脉冲信号用来控制彩灯的显示方式。最后链接其显示电路,通过74154N译码器实现。 1.根据课题要求,列出电路中各个功能需要的芯片和原件, 元器件列表 表1 序号器件名称数量备注 1 555定时器 1 连接成多谐振荡产生 脉冲信号

基于plc设计的彩灯循环课程设计论文

专科课程设计(论文)设计题目:基于PLC设计的彩灯循环 系部:电气工程系 专业:电气工程及其自动化 班级:电气自动化111302

摘要 可编程控制器是60年代末在美国首先出现的,当时叫可编程逻辑控制器PLC(ProgrammableLogicController),目的是用来取代继电器。以执行逻辑判断、计时、计数等顺序控制功能。提出PLC概念的是美国通用汽车公司。PLC的基本设计思想是把计算机功能完善、灵活、通用等优点和继电器控制系统的简单易懂、操作方便、价格便宜等优点结合起来,控制器的硬件是标准的、通用的。根据实际应用对象,将控制内容编成软件写入控制器的用户程序存储器内,使控制器和被控对象连接方便。 70年代中期以后,PLC已广泛地使用微处理器作为中央处理器,输入输出模块和外围电路也都采用了中、大规模甚至超大规模的集成电路,这时的PLC 已不再是仅有逻辑(Logic)判断功能,还同时具有数据处理、PID调节和数据通信功能。国际电工委员会(IEC)颁布的可编程控制器标准草案中对可编程控制器作了如下的定义:可编程控制器是一种数字运算操作的电子系统,专为在工业环境下应用而设计。它采用了可编程序的存储器,用来在其内部存储执行逻辑运算,顺序控制、定时、计数和算术运算等操作的指令,并通过数字式和模拟式的输入和输出,控制各种类型的机械或生产过程。可编程控制器及其有关外围设备,易于与工业控制系统联成一个整体,易于扩充其功能的设计。 可编程控制器对用户来说,是一种无触点设备,改变程序即可改变生产工艺。目前,可编程控制器已成为工厂自动化的强有力工具,得到了广泛的普及推广应用。 可编程控制器是面向用户的专用工业控制计算机,具有许多明显的特点。 ①可靠性高,抗干扰能力强; ②编程直观、简单; ③适应性好; ④功能完善,接口功能强。 \

数电课程设计-彩灯

课程设计报告 ( 2009 -- 2010 年度第二学期) 名称:数字电子技术课程设计 题目:彩灯控制系统 学号: 学生姓名: 成绩: 日期:2010 年5 月21 日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、系统框图及简要说明 (3) 四、方案选择与论证 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (6) 七、实验过程 (6) 八、心得体会 (7) 附录I:总原理图 (7) 附录II:multisim仿真图 (8) 附录III:元器件清单 (9) 附录IV:参考文献 (9)

一、电子技术课程设计的目的与要求 设计目的: 课程设计作为数字电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课数字电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型数字系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 设计要求: 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 彩灯控制电路: 1.设计任务 本课题要求设计一个具有一定变幻功能的四路彩灯显示系统。 2.技术指标及要求: (1)四路彩灯从左向右逐次渐亮,间隔为1秒。 (2)四路彩灯从右向左逐次渐灭,间隔为1秒。 (3)四路彩灯同时点亮,时间为0.5秒,然后同时变暗,时间为0.5秒, 反复4次。 3. (选做)多种图形方案选择显示。 三、系统框图及简要说明 图一简化设计框图

数电课程设计 双色三循环彩灯控制器电路

四川航天职业技术学院 电子工程系课程设计专业名称:电子工程系 课程名称:模电课程设计 课题名称:双色三循环彩灯控制器 设计人员: 指导教师:

年月日 《数字电子技术课程设计》任务书 一、课题名称:双色三循环方式彩灯控制器设计 二、技术指标: 1、电源VDD=12v 2、相邻两灯点亮的时间约在0.3-0.7s间可调,延时时间约在 1-6s间可调 3、计数器和译码器分别采用CMOS中规模集成电路CC4516 和CC4514 4、译码器4145共16个输出。 5、CMOS非门构成的振荡器的振荡周期T=1.4RC,555构成的振 荡器的振荡周期T=0.7(R1+2R2)C 三、要求: 1、控制器有3种方式: 方式A:单绿左移—单绿右移—单红左移—单红右移; 方式B: 单绿左移—全熄延时伴声音; 方式C:单红右移—四灯红闪、四灯绿闪延时。 2、控制器有8路输出,每路用双色发光二极管指示。 3、由单刀三掷开关控制3种方式,每种方式用单色发光二极 管指示 4、论文格式按系下发的《课程设计格式要求》统一执行。 5、要求原理图、印制板图、装配图三图齐全(印制板图和装 配可合二为一)。 指导教师:

学生: 电子工程系 年月日 课程设计报告书评阅页 课题名称:双色三循环彩灯控制器 班级: 姓名: 年月日指导教师评语:

考核成绩:指导教师签名: 年月日 摘要 循环彩灯的电路很多,循环方式更是五花八门,而且有专门的可编程彩灯集成电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的双色循环彩灯控制器就是用计数器和译码器来实现,其特点用双色发光二极管,能发红色和绿色两色光。 关键词:计数器,译码器,集成,双色发光二极管

循环彩灯课程设计知识讲解

循环彩灯课程设计

精品资料 成绩 课程设计说明书 题目:循环彩灯电路设计 课程名称:数字电子技术学 院:电子信息与电气工程学院 学生姓名:李倩 学号: 201102020025 专业班级:电子信息工程2011级1班 指导教师:翟亚芳 2013 年6 月7 日

课程设计任务书

循环彩灯 摘要:设计制作了一个循环彩灯控制电路,该电路可以控制8个彩灯从左到右依次点亮,然后依次熄灭,点亮和熄灭的时间间隔为1秒。电路主要由555定时器、同步十六进制加法计数器74LS161和8位串行输入、并行输出移位寄存器74LS164以及2输入端4与非门74LS00组成。555定时器可以产生振荡周期为1秒的时钟信号;74LS161对时钟信号进行加法计数;74LS00对74LS161产生的信号进行取反,74LS164对 74LS00输出的信号移位寄存输出并驱动对应的发光二极管工作,使其可以达到可以依次点亮依次熄灭的目的。 关键词:555定时器;加法计数器;反相器;移位寄存器;

目录 1.设计背景 (1) 1.1 了解数字电路系统和数字电路的定义和组成 (1) 1.2掌握时钟电路的作用及基本构成 (1) 2.设计方案 (1) 2.1 任务分析…………………………………………………………………… 1 2.2方案论证 (2) 3.方案实施 (2) 3.1 原理图设计………………………………………………………………… 2 3.2电路仿真 (4) 3.3PCB制作 (5) 3.4安装与调试 (6) 4.结果与结论 (6) 5.收获与致谢 (6) 6.参考文献 (7) 7.附件 (7) 7.1电路原理图 (8) 7.2仿真图 (8) 7.3P C B布线图 (9)

数电课程设计四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级: 110422学号: 11042215 姓名:陈粤龙 评分:教师: 20 13 年 9 月 23 日

数字电路课程设计任 务书 20 12 -2013 学年第 1 学期第19 周- 20 周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3)四亮四灭,从左向右移动 (4)从1~8从左到右逐次点亮,然后逐次熄灭 (5)四种花样自动变换. 进度安排 1. 布置任务、查阅资料、选择方案、领仪器设备:2天 2。仿真、画PCB线路图:2天 3。领元器件、制作、焊接:3天 4.调试:2天?5. 验收:1天 6. 提交报告:2013-2014学年第一学期2—3周 学生姓名:陈粤龙 指导时间:2周指导地点:E610 任务下达2013年 9月 22日任务完成2013 年 9 月2 5日 考核方式1.评阅□2.答辩□3.实际操作□4.其它□ 指导教师系(部)主任 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份

备查。 2、课程设计结束后与“课程设计小结"、“学生成绩单”一并交院教务存档。

摘要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理.本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS 153的四个输入端,它的地址输入端接双D触发器74LS74的两个输出端,74L S74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D触发器。当彩灯完成一种花样时,双D触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化. 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲分频自动转换控制器数据选择器

模电课程设计参考题目

; 课程设计题目 一.多功能信号发生器的设计(三选一) 设计要求: 1.能输出1~10KHz连续可调的正弦波-方波-三角波。 正弦波峰-峰值U P-P≥6V 方波的峰-峰值U P-P≥10V 三角波的峰-峰值U P-P≥5V 要求用集成运算放大器μA741,LM324或其他型号的运算放大器实现。 2.> 3.能输出1~10KHz连续可调的正弦波-方波-锯齿波。要求同上。 4.能输出1~10KHz连续可调的方波-三角波-正弦波函数转换器。要求同上。 二.带前置放大的音频功率放大器(二选一) 设计要求: 1.前置放大器的放大倍数为10倍,使用双/单路低噪声集成运放NE5532/NE5534、OP-27A,功率放大采用LA4100、或LM386、或其他型号。音量可调,杂音小,有电源退耦,无自激。 2.用集成功放TDA1521、TDA2030A或LM1875等 用桥式整流电容滤波集成稳压块电路设计电路所需的直流电源(查功放最低的直流电压)。 三.设计一OCL音频功率放大器 ? 设计任务和要求 1.OCL前面要有推动级。输入信号为ui=10mV, 频率f=1KHz; 2.额定输出功率Po≥2W; 3.负载阻抗R L=8Ω;失真度γ≤3%; 4.用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源。 四.设计一OTL音频功率放大器 设计任务和要求 1.OTL前面要有推动级。设音频信号为ui=10mV, 频率f=1KHz; 2.! 3.额定输出功率Po≥2W;负载阻抗R L=8Ω;失真度γ≤3%; 4.用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源。 五.多级低频电压放大器 设计要求:

循环彩灯课程设计

成绩 课程设计说明书 题目:循环彩灯电路设计 课程名称:数字电子技术 学院:电子信息与电气工程学院 学生姓名:李倩 学号:201102020025 专业班级:电子信息工程2011级1班 指导教师:翟亚芳 2013 年6 月7 日

课程设计任务书设计题目循环彩灯 学生姓名李倩所在学院电子信息与电气工 程学院 专业、年级、班 电子信息工程 2011级1班 设计要求: 1、设计制作一个循环彩灯电路; 2、设置彩灯数量为8个,8个彩灯从左到右依次点亮,然后依次熄灭,点亮和熄灭的时间间隔为1秒。 学生应完成的工作: 设计循环彩灯的工作原理,并利用Multisim软件进行电路仿真。利用DXP软件绘制电路原理图,并设计制作电路的PCB板。根据设计原理对电路进行安装调试,完成课程设计工作,并提交课程设计报告。 参考文献: [1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005. [2]臧春华.电子线路设计与应用[M].北京:高等教育出版社,2005. [3]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006. [4]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005. [5]张阳天,韩异凡.Protel DXP电路设计[M].北京:高等教育出版社,2005. 工作计划: 5月27号—30完成原理图设计;5月31号进行PCB设计;6月3号到4号制作PCB板;6月5到7号电路板安装与调试,提交课程设计报告。 任务下达时期:2013 年5月27日 任务完成时期:2013年6月7 日 指导教师(签名):学生(签名):

循环彩灯 摘要:设计制作了一个循环彩灯控制电路,该电路可以控制8个彩灯从左到右依次点亮,然后依次熄灭,点亮和熄灭的时间间隔为1秒。电路主要由555定时器、同步十六进制加法计数器74LS161和8位串行输入、并行输出移位寄存器74LS164以及2输入端4与非门74LS00组成。555定时器可以产生振荡周期为1秒的时钟信号;74LS161对时钟信号进行加法计数;74LS00对74LS161产生的信号进行取反,74LS164对74LS00输出的信号移位寄存输出并驱动对应的发光二极管工作,使其可以达到可以依次点亮依次熄灭的目的。 关键词:555定时器;加法计数器;反相器;移位寄存器;

欧姆龙循环彩灯课程设计(自编)

题目4:循环彩灯PLC控制系统设计 专业:自动化年级:2009级班级:09自动化一班姓名:XXX 摘要 随着人们生活水平的提高,环境的不断改善和美化,PLC对人类的影响越来越广。在许多场合可以看到很多彩色的霓虹灯。特别是当今充满竞争的时代,各地政府为吸引游客和投资者,在城市的沿街、沿道、沿河、沿线等地用霓虹灯造景,实施“亮化工程”,以美化环境、树立城市形象。 由于LED彩灯克服了传统霓虹灯投资大,制作工艺复杂,使用玻璃管、高压电源及惰性气体等诸多不便,同时解决了耗电高、造价高,使用寿命短的不足,因此得到了广泛的应用。越来越多的商家开始关注这块商机无限的市场,竞相制作生产。 但是目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,电路结构复杂、功能单一,这样一旦制成成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮度时间、模式、闪烁频率等动态参数。同时这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能上来看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 关键字:PLC LED彩灯控制器 一、设计要求及任务 系统功能和控制要求:

1、流水型彩灯控制: 按下流水型彩灯启动按钮A,彩灯从前往后流水型控制,各灯点亮时间为1s,5s后从后往前流水型控制,循环10次后自动停止,各灯点亮。 按下流水型彩灯启动按钮B,彩灯从前往后隔位点亮流水型控制,并无限循环,各灯点亮时间自行设定;按下停止按钮系统停止工作。 2、发射与聚集型彩灯控制: 按下发射型彩灯启动按钮:彩灯以L5—L6,L4—L7,L3—L8,L2—L9,L1—L10顺序发射型依次点亮,各灯亮2s循环5次自动停止; 按下聚集型彩灯启动按钮:彩灯以L1—L10,L2—L9,L3—L8,L4—L7,L5—L6顺序聚集型依次点亮,各灯亮2s循环5次自动停止; 3、四灯同亮型彩灯控制: 自行设计一种四灯同亮控制规律,要求有5种四灯同亮模式,各模式依次点亮,点亮时间为2s,要求一次循环每个灯均被点亮2次。循环5次后自动停止。 按下四灯同亮彩灯启动按钮,彩灯按设计的四灯同亮控制规律运行。 4、综合彩灯控制: 将上述各种彩灯控制功能综合,自行设计控制规律,要求在按下综合彩灯启动按钮时彩灯按设计的控制规律循环运行,直至按下系统停止按钮时停止工作。要求一次循环中必须要有上述各种彩灯控制功能,每种功能在一次循环中出现不超过两次。 二、系统分析 近年来不断开发出许多功能模块,如高速计数模块、温度控制模块、远程I/O模块、通信和人机接口模块等。这些带CPU和存储器的智能I/O模块,既扩展了PLC功能,又使用灵活方便,扩大了PLC应用范围。加强PLC联网通信的能力,是PLC技术进步的潮流。PLC的联网通信有两类:一类是PLC之间联网通信,各PLC生产厂家都有自己的专有联网手段;另一类是PLC与计算机之间的联网通信,一般PLC都有专用通信模块与计算机通信。为了加强联网通信能力,PLC生

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

循环彩灯系统设计讲解

课程设计报告 题目:循环彩灯系统设计 课程名称:电子技术课程设计学生姓名: 学生学号: 年级:15级 专业:电子信息工程 班级:1班 指导教师: 电子工程学院制 2017年3月

目录 1设计的任务与要求 (1) 1.1 课程设计的任务 (1) 1.2 课程设计的要求 (1) 2 循环彩灯设计方案制定 (1) 2.1 循环彩灯设计的技术方案 (1) 2.2 循环彩灯系统设计的原理 (1) 3 循环彩灯设计方案实施 (2) 3.1 循环彩灯单元模块功能及电路设计 (2) 3.2 循环彩灯电路参数计算及元器件选择 (8) 3.3 循环彩灯系统整体电路图 (9) 4 循环彩灯系统设计的仿真实现 (9) 4.1 仿真软件介绍 (9) 4.2循环设计仿真实现 (10) 5 总结及心得体会 (10) 6参考文献 (11)

循环彩灯系统设计 电子工程学院 电子信息工程专业 1设计的任务与要求 1.1 课程设计的任务 利用Multisim 仿真软件和电子元器件,设计并制作一个循环彩灯系统。 1.2 课程设计的要求 由八只LED 灯组成的彩灯系统,要以一定的花型循环,循环间隔可自行定义。 2 循环彩灯设计方案制定 2.1 循环彩灯设计的技术方案 图1原理框图 2.2 循环彩灯系统设计的原理 555定时器组成多谐振荡电路提供震荡脉冲,利用计数器产生的脉冲控制译码 器,利用多块译码器可实现LED 灯的多种方式循环显示,增添效果显示。 R1?R2给电容C1充电,使逐渐升高, 当时,3脚(Q 端)输出为高电平。当上升到 超过时,3脚输出仍为高电平。当继续上升到略超过时,RS 触发器状态发生翻转,3 脚输出为低电平,同时C1经 R2及7脚内导通的放电管VT 到地放电,迅速下降。 当下降到略低于时,触发器状态又翻转,3脚输出变为高电平。同时,7脚内导通的 放电管VT 截止,电容 C1再次进行充电,其电位再次上升,一直循环下去。根据, 可以看出,通过改变电位器R2的电阻值的大小,即可以改变振荡器的振荡周期,从 而改变3脚输出高低电平的转换时间,进而改变流水灯的速度。

数电课程设计循环彩灯控制器

课 程 设 计 说 明 书 班级:电子信息0901 学号:0501090108 学生姓名:张亚军 指导教师:曹建生 日期:2011.1.04

绪论 自1879年美国科学家爱迪生发明了白炽灯以来,便结束了人类“黑暗“的历史,给人类以光明,创造了巨大的财富。如今灯光已成为人民生活中必不可少的家用品。而相续发展起来的的循环彩灯也成为时代前沿的时尚艺术,它以现代高科技为基础,随着高技术日新月异的发展,其艺术性和表现力都产生了质的飞跃,实现了艺术上的创新与突破,不断创造出令人惊叹、叫绝的视觉艺术效果,给人们带来了美的享受和心灵上的震撼。 伴随着人们生活环境的不断改善和美化,在许多场合可以看到霓虹灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一旦制作成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮灯时间、模式、闪烁频率等动态参数。这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点而且价格昂贵。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 利用控制电路可使彩灯按一定的规律不断的改变状态,不仅可以获得良好的观赏效果,而且可以省电(与全部彩灯始终全亮相比)。再由于人们对于物质生活的要求也在逐渐提高,不光是对各种各样的生活电器的需要,也开始在环境的幽雅方面有了更高的要求。比如日光灯已经不能满足于我们的需要,彩灯的运用已经遍布于人们的生活中,从歌舞厅到卡拉OK包房,从节日的祝贺到日常生活中的点缀。这些不紧说明了我们对生活的要求有了质的飞跃,也说明科技在现实运用中有了较大的发

彩灯循环控制电路的设计与制作

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师 年月日

目录 摘要 (3) 1设计任务及要求 (4) 2方案设计及选择 (4) 2.1 方案设计 (4) 2.2 方案的比较与选择 (8) 3单元电路的设计 (9) 4 整体电路图及原理 (15) 4.1整体电路图 (15) 4.2工作原理 (16) 5 电路调试及结果分析 (16) 5.1调试 (16) 6 结果评价与改进方法 (17) 6.1结果评价 (17) 6.2改进方法 (17) 7总结 (18) 8参考文献 (18)

摘要 多组彩灯按照一定的顺序点亮构成的电路具有很高的观赏性,在生活中有着很广泛的应用,例如广告牌,霓虹灯等。本设计中彩灯控制器可用于对霓虹灯或彩灯及节日字灯的控制,本次课程设计将对设计框图、设计电路图、单元电路图、工作原理、所用器件、电路调试等方面进行介绍,最后对本次课程设计进行总结。 关键词:循环,计数,单元电路

彩灯循环控制电路的设计与制作 1设计任务及要求 (1)8个彩灯能够自动循环点亮。 (2)彩灯循环显示且频率快慢为1S。 选作:设计具有控制彩灯左移,右移,全亮及全灭功能的电路 2方案设计及选择 2.1 方案设计 2.1.1 方案一 根据设计要求,使用计数器来实现循环,设计电路使其可实现以下功能 1)彩灯右移依次点亮的循环; 0101 2)彩灯左移依次点亮的循环: 0111 0010

3)彩灯全灭的功能;00000000 设计方案原理图 各单元电路所用器件为: 1)脉冲发生器:使用555构成的多谐振荡电路产生频率为1Hz 的脉 冲信号;

彩灯控制器课程设计

课程设计报告 课程名称:彩灯控制器 系部:机电系 专业班级: 小组成员: 指导教师: 完成时间:2012年1月3日

《数字电子技术》课程设计报告 一、设计要求 1 .分析设计要求,明确性能指标。必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。 2 .确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。 3 .设计各单元电路。总体方案化整为零,分解成若干子系统或单元电路,逐个设计。 4.组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。 二、设计的作用、目的 1.有十只LED,L0……L9 2.显示方式 ①先奇数灯依次灭 ②再偶数灯依次灭 ③再由L0到L9依次灭 3.显示间隔0.5S,1S可调。 掌握彩灯控制器的原理,彩灯是一束束用导线连接起来的并联灯泡,当接通电源后,彩灯就会工作,但里面可能是由编程或非编程的电路控制灯泡的运作的,譬如实现彩灯、闪烁、循环、时控等功能。 数列的产生可以通过计数器和逻辑门实现,而循环则需要用到时序电路控制,如触发器等,而最后可以用逻辑门把几个输出接到同一个数码管。 :三、设计的具体实现 1系统概述 1)系统框图

从课程设计要求来看,要求实现彩灯的23种状态,所以,可以用一个23进制的计数器,从0到22来控制这23种状态。 再画出这23种状态和计数器数字对应的状态图,计算出逻辑式,便可实现彩灯的控制。由于变量过多,逻辑式的化简比较困难,所以我们使用了译码器来得到最小项,直接用最小项进行连接。 题目要求实现时间间隔可调,所以使用了555定时器构成的单稳态触发器来实现此功能。 2.电路分析与设计 1. 输入调整电路设计 工作电压采用+5V直流稳压电源,它是由电源变压器、整流电路、滤波电路、稳压电路4部分构成。 图1 直流稳压电源 2. 555定时器构成的单稳态触发器 电路图2:

循环彩灯课程设计

数字电路课程设计(学年设计、学年论文)任务书

数字电路设计说明书

学院名称:计算机与信息工程学院 班级名称:网络工程4班 学生姓名: 学号: 题目:循环彩灯控制器设计 指导教师 姓名: 起止日期:2013.12.1至2014.1.3 第一部分:正文部分 一、选题背景(标题:四号仿宋加粗,顶格,行间距:24磅,上下间距:段前0.5行,段后0.5行)(内容:用小四号仿宋,首行空两格) 1.应解决的主要问题 1.1了解数字电路系统的定义及组成:数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。

1.2掌握时钟电路的作用及基本构成:时钟电路是数字电路系统中的灵魂,它属于一种控制电路,整个系统都在它的控制下按一定的规律工作。时钟电路包括主时钟振荡电路及经分频后形成各种时钟脉冲的电路。比如多路可编程控制器中的555多谐振荡电路信号结合产生系统所需的各种时钟脉冲。 2.应达到的要求 通电后彩灯能自动点亮并循环;具有顺时针和逆时针循环选择;循环频率可调;具有复位、暂停、继续等按钮;采用市电供电,自行设计电源电路。 (说明本课题应解决的主要问题及应达到的要求。) 二、相关知识 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

数字电路课设--彩灯循环控制电路设计

数字电子技术课程设计报告 题目名称:彩灯循环控制电路设计姓名:程小松 学号:150712162 班级:15电本6班 指导教师:X媛 XX工程技术学院

信息工程与自动化系

数电课程设计任务书 一、设计题目: 彩灯循环控制电路设计 二、设计任务: 1)巩固和加强《数字电子技术基础》课程的理论知识; 2)掌握电子电路的一般设计方法,了解电子产品研制开发过程; 3)掌握电子电路安装和调试及故障排除方法,学会用Multisim软件对进行电路仿真操作; 4)通过查阅手册和文献资料,提升独立分析问题和解决问题的能力; 5)培养创新能力和创新思维。 三、设计报告: 1、格式要求: ⑴页面:A4,上下左右页边距2.0厘米。 ⑵题目:小二黑体加粗;大标题:三号黑体加粗;小标题:小四黑体加粗;正文:五号宋体。 ⑶页码:底部居中。 2、报告内容: 1.封面 2.内容提要 3.正文 1)设计要求 2)题目分析 3)设计思路与原理 4)电路图的仿真 5)心得体会 6)参考文献 四、进度安排:

五、参考资料: [1] 康华光.电子技术基础-数字部分[M].华中理工大学教研室. [2] 高吉祥.电子技术基础-实验与课程设计[M]. 电子工业. [3] 付子仪.电子技术课程指导书[M]. XX理工大学.

目录 一、内容提要1 二、课程设计目的错误!未定义书签。 三、课程设计要求1 四、电路组成框图2 五、元器件清单3 六、各功能块电路图3 6.1 脉冲信号发生器3 6.1.1 555定时器3 6.1.2 多谐振荡器5 6.2 顺序脉冲发生器6 6.3 彩灯循环系统9 6.4仿真电路总图12 七、结果分析12 八、总结1

相关文档
相关文档 最新文档