文档库 最新最全的文档下载
当前位置:文档库 › 四位二进制数1011的典型格林码为(

四位二进制数1011的典型格林码为(

四位二进制数1011的典型格林码为(
四位二进制数1011的典型格林码为(

《数 字 逻 辑》 复 习 题

1、(321)10=( )2=( )16

2、(AB.C )16=( )2=( )10

3、(-1011011)2 =( )补

4、(32)10=( )8421BCD =( )余三BCD

5、(216)10=( )2421BCD =( )5421BCD

6、(-29)10 =( )9补

7、(-128.6)10=( )9补=( )10补

8、四位二进制数1011的典型格林码为( )

9、用逻辑代数公理和定理证明:

①B A B A B A B A +=⊕

②)B A (⊕⊙B A AB = ③C AB C B A C B A ABC A ++=?

10、利用公式法和卡诺图法化简下列函数:

① F (A 、B 、C 、D )=∑m (2、3、4、5、10、11、12、13)

② F (A 、B 、C 、D )=∏M (2、4、6、10、11、12、13、14、15)

11、设计一个“四舍五入”电路,该电路输入为一位十进制的8421码,当其值大于或等于5时输出F 的值为1,否则F 的值为0。

12、设计一代码转换电路,将4位二进制数转换成格林码。

13、什么是组合电路,说明组合电路的分析和设计的基本过程。

14、举例说明什么是Mealy 型电路和Moore 型电路,并说明其在分析和设计时的过程。

15、什么是同步时序电路,说明其分析和设计的基本过程。

16、什么是脉冲型异步时序电路,说明其分析和设计的基本过程,并说明其对输入的要求。

17、什么是电平型异步时序电路,说明其分析和设计的基本过程,并说明其对输入的要求及与组合电路的区别。

18、什么是PAL ,举例说明利用PAL 进行时序逻辑电路设计的基本方法和注意事项。

19、说明D 触发器的功能及状态方程。

20、说明JK 触发器及T 触发器的功能及状态方程。

21、什么是等效状态,并说明对完全给定状态表化简的基本过程。

22、什么是相容状态,并说明对不完全给定状态表化简过程。

23、设计一个二位二进制+/-可逆计数器。

24、用与非门设计一个组合电路,该电路输入为一位十进制的8421BCD 码,当输入的数字1的个数为奇数时,输出F 为1,否则F 为0。

25、给出如下同步时序逻辑电路的状态表,分别用D 、JK 、T 触发器实现该电路,并说明用哪一种触发器组成的电路简单。

26、分析下图所示的同步时序电路。

27、分析下图所示的脉冲异步时序电路。

16位二进制数转换为十进制数(BCD码)的可用算法

分析将16位二进制数转换为十进制数(BCD码)的可用算法 ------*****

算法一: 加3移位法(移位指令) "二进制数调整BCD码的方法是将二进制码左移16次,每次移位后都检查低四 位LSD+3是否大于7,如是则加3,否则不加,高4位MSD作同样处理" 一、为什么左移16次 原寄存器是存二进制数的,新寄存器是存十进制数的,当然要左移16次, 把数据全部移进去。 但这里要注意两件事,第一,如果只安排一个字节作十进制寄存器,原数 据要小于64H(即100)。第二,由于新寄存器是十进制的,要随时调整。 二、检查半字节+3 是否大于7,是,则+3 在51 系列里有十进制调节指令(半字节大于9,则加6,应该不难理解),PIC 里没有,只好采取变通的方法。 检查半字节+3 是否大于7,也就是检查半字节是否大于4。因为,如果大于4(比如5、6),下一步左移就要溢出了,所以加3,等于左移后的加6,起到十进制调节的作用。 那为什么要绕个圈子去检测半字节+3 是否大于7 呢?这样程序编起来 会简练一些。

假如有一个二进制数511,我把他转511的十进制数 1 1111 1111 原数 1 0000 0001 ;左移一次 2 0000 0011 ; 左移二次 3 0000 0111 ;左移三次,检查低四位+3>7? 3.1 0000 1010 ;大于7,加3进行调整 4 0001 0101 ;左移四次, 检查低四位+3>7? 4.1 0001 1000 ;大于7,加3进行调整 5 0011 0001 ;左移五次 6 0110 0011 ;左移六次,检查高四位+3>7? 6.1 1001 0011 ;大于7,加3进行调整 7 1 0010 0111 ;左移七次,检查低四位+3>7? 7.1 1 0010 1010 ;大于7,加3进行调整 8 10 0101 0101 ;左移八次,检查高低四位+3>7? 8.1 10 1000 1000 ;大于7,加3进行调整 9 101 0001 0001 ;左移九次(得到BCD码511)

四位原码乘法器

1.课程设计的内容和要求 内容:设计四位原码乘法器电路。 要求:1.有关资料,设计乘法器电路; 2.画出乘法器逻辑图; 3.在实验箱上完成乘法器电路的组装,调试,核对记录,测试有关数据, 通过老师当场验收; 4.完成课程设计报告。 1.课程设计原理 运用存储器的存储功能实现数字的存储。令电路的初始状态为000,000,000000。以二进制的形式输入数字,计算方式是以十进制数字乘法。输入的数字为三位数字,输出的是六位数字。先存储输入的乘数和乘积,然后再将乘积的导线端连到输出段,此时之前输入的乘积就可以在输出端显示。 此时序电路的真值表为:

1.课程设计思路 本次课程设计的题目为四位原码乘法器,利用真值表输入乘数时,需要存放数字,于是我查阅了一些资料,用存储器可以实现这一电路,所以本实验中用到的是INTEL 2114芯片。 具体实现过程如下图: a a b b F 32F 1 1.课程设计所需的器材 1.2114是一个容量为1K4位的静态RAM芯片,常用于寄存器。 其具体的引脚图为: 此芯片的电路图为: 2.数字电路实验箱 3.导线若干 1.课程设计实现 本次课程设计的题目是四位原码乘法器电路。 此部分只用到了2块INTEL2114芯片,具体连接如下: 1、先将这些芯片按在电路板上(注意不要插反,否者容易烧毁芯片)。 2、将两片芯片的A6和GND端,A7,A8,A9接地。 3、Vcc端接电压5V,cs接存储端,WE端接控制端。 4、两块芯片的A5,A4,A3组成一个乘数,A0,A1,A2组成另一个乘数。其中一块芯

片的I/O1,I/O2,I/O3,I/O4和另一块芯片的I/O1,I/O2组成要求的乘积。乘数与乘积的显示方式均为二进制,但是计算方法是以十进制数的乘法法则计算。 1.调试步骤及方法 在连接实验器件之前,要先检查如下实验器件: 1、检查芯片引脚是否有损坏。 2、检查电路板是否好用。 连接实验器件时要注意: 2严格按照电路图一步一步连接,以避免连接错误。 3导线要先连接电源测试是否导电。 连接好电路进行数据测试,输入001,010,000010,存储;001,101,000101,存储;001,111,000111,存储。将连在输入端的四个输出连接到输出端,并输入001,010,但是结果并不是000010,而是000100;再输入001,101,也没有得到000101的结果,而是000110的结果。检查线路,发现输出的线路错位,纠正后重新输入乘数,结果均得到计算结果。调试成功。 1.实验结果 连接好整个电路。A5A4A3和A2A1A0为输入端,即乘数,F5F4F3F2F1F0为输出端,即乘积。如下表: 8. 课程设计结果 输入000,000,000000,存储;

四位二进制除法器说明书

目录 一、设计目的 (1) 二、设计要求 (1) 三、设计内容 (1) 3.1、除法的实现 (1) 3.2、设计框图 (1) 3.3、功能说明 (1) 3.4、VHDL程序源代码 (2) 3.5、VHDL程序说明 (3) 四、原理图和印刷板图 (4) PCB板图 (4) Protel 原理图 (5) 五、设计结论 (6) 六、设计心得体会 (6) 七、主要参考文献 (7)

一、设计目的 1.掌握电子电路的一般设计方法和设计流程; 2.学习使用PROTEL软件绘制电路原理图及印刷板图。 二、设计要求 设计一个四位二进制除法器,具体要求如下: 1. 用键盘输入两个四位二进制数,并用数码管显示输入数。 2.按除法键即显示相除结果。 3. 除数为零时,数码管黑屏,不显示任何内容。 三、设计内容 1、设计过程 要想实现四位二进制除法器,必须首先实现除法的功能。 除法实现的方案可以用VHDL语言实现。 整个四位二进制除法器包括:输入电路,判断电路,除法电路,译码电路和显示电路。这些电路可以分别进行设计。 2、设计框图 3.各个模块的功能说明 ●整个四位二进制除法器的实现可以分为以下5个部分: ●输入电路:输入两个4位2进制数A和B。它是通过连着高电平的8个开关

来实现的。

●判断电路:判断B是否为0。它是通过1个5输入同或门实现的。如果 B为0,输出端输出高电平,使能端除法器不工作,显示器黑屏。 ●除法电路:由VHDL语言实现的。它实现两个4位2进制数相除,并输出商y 和余数r. ●译码电路:由VHDL语言实现的。它实现两个4位2进制数相除,并输出商y 和余数r. ●显示电路:将译码器译成的数用数码管显示出来。 4.VHDL程序源代码 除法源代码 1.Library ieee; 2.Use ieee.std_logic_1164.all; 3.Entity divider is 4.Generic (n: integer :=3); 5.Port( a, b : in integer range 0 to 15; 6.y:out std_logic_vector ( 3 downto 0); 7.rest:out integer range 0 to 15; 8.err:out std_logic); 9.End divider ; 10.Architecture rtl of divider is 11.Begin 12.Process (a,b) 13.Variable temp1:integer range 0 to 15; 14.Variable temp2:integer range 0 to 15; 15.Begin 16.temp1:=a; 17.temp2:=b; 18.if(b=0)then err <=’1’; 19.Else err<=’0’;

《信息理论与编码》,答案,考试重点(1--3章)

《信息理论与编码》习题参考答案 1. 信息是什么信息与消息有什么区别和联系 答:信息是对事物存在和运动过程中的不确定性的描述。信息就是各种消息符号所包含的具有特定意义的抽象内容,而消息是信息这一抽象内容通过语言、文字、图像和数据等的具体表现形式。 2. 语法信息、语义信息和语用信息的定义是什么三者的关系是什么 答:语法信息是最基本最抽象的类型,它只是表现事物的现象而不考虑信息的内涵。语义信息是对客观现象的具体描述,不对现象本身做出优劣判断。语用信息是信息的最高层次。它以语法、语义信息为基础,不仅要考虑状态和状态之间关系以及它们的含义,还要进一步考察这种关系及含义对于信息使用者的效用和价值。三者之间是内涵与外延的关系。 第2章 1. 一个布袋内放100个球,其中80个球是红色的,20个球是白色的,若随机摸取一个球,猜测其颜色,求平均摸取一次所能获得的自信息量 答:依据题意,这一随机事件的概率空间为 120.80.2X x x P ????=???????? 其中: 1 x 表示摸出的球为红球事件, 2 x 表示摸出的球是白球事件。 a)如果摸出的是红球,则获得的信息量是 ()()11log log0.8 I x p x =-=-(比特) b)如果摸出的是白球,则获得的信息量是 ()()22log log0.2 I x p x =-=-(比特) c) 如果每次摸出一个球后又放回袋中,再进行下一次摸取。则如此摸取n 次,红球出现的次数为 () 1np x 次,白球出现的次数为 () 2np x 次。随机摸取n 次后总共所获得信息量为 ()()()() 1122np x I x np x I x + d)则平均随机摸取一次所获得的信息量为 ()()()()()()()()()112211221 log log 0.72 H X np x I x np x I x n p x p x p x p x =+????=-+????=比特/次

4位乘法器

一、概述 利用四位二进制寄存器、全加器以及D触发器等元器件,实现四位二进制乘法器的控制部分和乘法的实现部分。成法是加法的简便运算乘法运算只能通过加法运算以及移位运算来实现。在控制端用四个触发器产生四个控制信号来控制实现的加法移位功能,实现端在控制端信号作用下依次执行置零、加法、移位和循环操作。 二、方案说明 设计一个4位二进制乘法器,可以存储其乘积。 电路原理框图如图1所示。乘法器可以利用家发起和寄存器实现。 图1 乘法器原理框图 寄存器B存放被乘数,寄存器Q存放乘数,两个乘积长度可能是原来的2倍,故计算完成后将累加和高位放入寄存器A,而Q放寄存器的低位,P 记录乘数的位数,每形成一个部分P加1,当P=4时,乘法结束,两数之积放在AQ寄存器中。 控制端产生四个控制信号分别为T0、T1、T2、T3。在初态T0时,被乘数和乘数已分别存于寄存器B和Q中,等待启动信号S的到来,当S=1时控制器进入状态T1,在此状态下A、E、P清零,准备乘法操作。 从状态T2开始,控制器进入累计部分积的循环操作过程。首先检验乘数的最低有效位Q1。如Q1=1,A和B相加结果存于A和E之中;如果Q1=0,不做加法运算。无论Q1为何值,都要将计数器P加1。在状态T3,合成寄存器EAQ右移一位得到累计的部分积,时检测P之值,如果P不等于4,状态返回T2,继续累计部分积的过程。如果P=4,停止循环,系统返回初始状态T0。 三、电路设计 1、控制器设计

根据图2所示的ASM图表,可以设计二进制乘法器的控制器。 图2 二进制乘法器ASM图表四个D触发器的驱动方程为: D0=T0S’+T3Z=((T0S’)’·(T3Z)’)’ D1=T0S=((T0S)’)’ D2=T1+T3Z’=(T1’·(T3Z’)’)’ D3=T2

二进制的运算法则

1.2 微型计算机运算基础 1.2.1 二进制数的运算方法 电子计算机具有强大的运算能力,它可以进行两种运算:算术运算和逻辑运算。1.二进制数的算术运算 二进制数的算术运算包括:加、减、乘、除四则运算,下面分别予以介绍。(1)二进制数的加法 根据“逢二进一”规则,二进制数加法的法则为: 0+0=0 0+1=1+0=1 1+1=0 (进位为1) 1+1+1=1 (进位为1) 例如:1110和1011相加过程如下: (2)二进制数的减法

根据“借一有二”的规则,二进制数减法的法则为: 0-0=0 1-1=0 1-0=1 0-1=1 (借位为1) 例如:1101减去1011的过程如下: (3)二进制数的乘法 二进制数乘法过程可仿照十进制数乘法进行。但由于二进制数只有0或1两种可能的乘数位,导致二进制乘法更为简单。二进制数乘法的法则为: 0×0=0 0×1=1×0=0 1×1=1 例如:1001和1010相乘的过程如下:

由低位到高位,用乘数的每一位去乘被乘数,若乘数的某一位为1,则该次部分积为被乘数;若乘数的某一位为0,则该次部分积为0。某次部分积的最低位必须和本位乘数对齐,所有部分积相加的结果则为相乘得到的乘积。 (4)二进制数的除法 二进制数除法与十进制数除法很类似。可先从被除数的最高位开始,将被除数(或中间余数)与除数相比较,若被除数(或中间余数)大于除数,则用被除数(或中间余数)减去除数,商为1,并得相减之后的中间余数,否则商为0。再将被除数的下一位移下补充到中间余数的末位,重复以上过程,就可得到所要求的各位商数和最终的余数。 例如:100110÷110的过程如下:

二进制数

二进制是计算技术中广泛采用的一种数制。二进制数据是用0和1两个数码来表示的数。它的基数为2,进位规则是“逢二进一”,借位规则是“借一当二”。 二进制数(binaries)是逢2进位的进位制,0、1是基本算符;计算机运算基础采用二进制。电脑的基础是二进制。在早期设计的常用的进制主要是十进制(因为我们有十个手指,所以十进制是比较合理的选择,用手指可以表示十个数字,0的概念直到很久以后才出现,所以是1-10而不是0-9)。电子计算机出现以后,使用电子管来表示十种状态过于复杂,所以所有的电子计算机中只有两种基本的状态,开和关。也就是说,电子管的两种状态决定了以电子管为基础的电子计算机采用二进制来表示数字和数据。常用的进制还有8进制和16进制,在电脑科学中,经常会用到16进制,而十进制的使用非常少,这是因为16进制和二进制有天然的联系:4个二进制位可以表示从0到15的数字,这刚好是1个16进制位可以表示的数据,也就是说,将二进制转换成16进制只要每4位进行转换就可以了。 二进制的“00101000”直接可以转换成16进制的“28”。字节是电脑中的基本存储单位,根据计算机字长的不同,字具有不同的位数,现代电脑的字长一般是32位的,也就是说,一个字的位数是32。字节是8位的数据单元,一个字节可以表示0-255的十进制数据。对于32位字长的现代电脑,一个字等于4个字节,对于早期的16位的电脑,一个字等于2个字节。 例子: 如十进制10 变二进制 10/2 = 5 余0 5/2 = 2 余1 2 /2 =1 余0 1/2 = 0 余1 计算结束,把余数从后向前写出:1010,即十制10 变为二进制后是1010; 二进制计算与十进制计算类似,只不过是逢二进。以加法为例: 0 + 0 = 0 0+1 =1 1+0 = 0 1+1= 10 //如二进制100 + 101计算 1 0 0 + 1 0 1 ---------- 1 0 0 1 相当于十进制4+5 = 9

四位二进制除法器

四位二进制除法器设计 李道通1411082758 1、设计方法 采用移位相减法设计二进制除法器:被除数和除数都是二进制数,采用将除数移位的方法。1)判断除数是否零:如果除数为零,返回等待;2)除数不为零时,C左移一位,将被除数A的最高位赋值给C的最低位,A左移一位,将最低位赋值为零;3)判断C和除数B的大小,若C>=B,这C=C-B,且A的最低位赋值为1。4)如此循环四次,得到的A即为商,得到的C为余数。该算法的好处在于被除数和商公用一个寄存器A,节省资源。 2、算法流程图 图中:被除数和除数分别放在A、B中,商余数分别放在A和C,N为计数器

3、VHDL程序代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; ENTITY ldt_chufaqi IS PORT(A,B:IN STD_LOGIC_VECTOR(3 DOWNTO 0); C,D:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END ENTITY ldt_chufaqi; ARCHITECTURE BEHA V OF ldt_chufaqi IS BEGIN S1:PROCESS(A,B) V ARIABLE N:INTEGER; V ARIABLE TEMP_A,TEMP_B,TEMP_C:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN TEMP_A:=A; TEMP_B:=B; TEMP_C:="0000"; N:=0; IF(B>"0000")THEN WHILE(N<4) LOOP TEMP_C:=TEMP_C(2 DOWNTO 0)& TEMP_A(3); TEMP_A:=TEMP_A(2 DOWNTO 0)&'0'; IF TEMP_C>=TEMP_B THEN TEMP_C:=TEMP_C-TEMP_B; TEMP_A(0):='1'; END IF; N:=N+1; END LOOP; ELSE TEMP_A:="ZZZZ"; TEMP_C:="ZZZZ"; END IF; D<=TEMP_A(3 DOWNTO 0);C<=TEMP_C(3 DOWNTO 0); END PROCESS; END ARCHITECTURE BEHA V; 4、仿真结果: 图中:A、B、C、D分别是被除数、除数、余数和商,因本人对软件和语言的运用理解不足,无法做到A的同时输入和输出,故将A的结果赋值给D,但基本思路运算方法已经得到实现。

初中七年级信息技术13信息与二进制编码教案

初中七年级信息技术1.3信息与二进制编码教案 课时:1课时 课型:新授课 教学方法:讲练法 教学用具:课件、投影、 教学目标: 1、知识目标:实施传统的“讲练”法模式,使学生了解信息编码;安全使用计算机的方法。 2、能力目标:培养学生能对二进制做简单计算。 教学重点:计算机的安全使用;使用计算机的良好首先的培养。 教学难点:二进制的计算。 课前准备: 1、复习计算机系统的结构图;储存容量的基本计算; 2、课件、多媒体教室的试用。 教学过程 一、复习:(5分钟) 师:同学们,通过上节课的学习,我们知道计算机能够正常工作不但需要有硬件部分,还需要软件来支持,否则配置再高的计算机也如同一堆毫无生机的废品。下面请同学思考一下,试着画出计算机系统的结构图。 (可以请同学在黑板上画。) 师:通过大家的演示,再对比一下老师所给的正确结构图。(看大屏演示) (师生共同讨论总结) 计算机系统的组成: 运算器 中央处理器 控制器 主机 随机存储器 内存诸器 只读存诸器 硬件部分 输入设备 外部设备输出设备 计算机系统外存储器 系统软件 软件部分 应用软件

师:问题二,表示存储器存储容量的基本单位,常用的单位之间的换算关系是:1KB= B 1MB= KB 1GB= MB 生:回答。 二、新课导言: 师:大家在日常生活中是否能见到一些只存在两种状态的事或物存在?能否例举出来? 生:例举事物…… 师:能从大家所例举的事物中看出只存在两种状态的事物多存在于电子器件上,这是为什么呢?(由于学生为初一年级的学生,知识含量有限,所以由老师做以下简单的解答)电子器件最易形成两种稳定状态,如电压的高低、电流的通断。所以我们在计算机中采用了以0和1两个数字组成的二进制数的形式来表示计算机中所有的信息。(在大屏幕上展示十进制数的运算法则:逢十进一。启发学生说出二进制的运算法则。) 二、新课: 1、[以课件1展示二进制运算法则,与十进制进行转换运算。] 二进制的重点与难点就是同学们不易改变头脑中的十进制进位方式。 2、[以课件2展示病毒对计算机的危害。] 计算机病毒是指可以在计算机系统中起破坏作用的程序。通过课件的展示,使同学们认识到预防计算机病毒的重要性。同时使同学们在使用计算机的过程中,都应该遵循一定的道德标准,不应该有违反法律和法规的行为。 三、课堂练习: 1、把十进制的5、6、8、9转化为二进制的数。 2、(11)十=()二(15)十=()二 教学后记:

四位二进制除法器

四位二进制除法器设计 李道通58 1、设计方法 采用移位相减法设计二进制除法器:被除数和除数都是二进制数,采用将除数移位的方法。1)判断除数是否零:如果除数为零,返回等待;2)除数不为零时,C左移一位,将被除数A的最高位赋值给C的最低位,A左移一位,将最低位赋值为零;3)判断C和除数B的大小,若C>=B,这C=C-B,且A的最低位赋值为1。4)如此循环四次,得到的A即为商,得到的C为余数。该算法的好处在于被除数和商公用一个寄存器A,节省资源。 2、算法流程图 图中:被除数和除数分别放在A、B中,商余数分别放在A和C,N为计数器

3、VHDL程序代码: LIBRARY IEEE; USE ldt_chufaqi IS PORT(A,B:IN STD_LOGIC_VECTOR(3 DOWNTO 0); C,D:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END ENTITY ldt_chufaqi; ARCHITECTURE BEHAV OF ldt_chufaqi IS BEGIN S1:PROCESS(A,B) VARIABLE N:INTEGER; VARIABLE TEMP_A,TEMP_B,TEMP_C:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN TEMP_A:=A; TEMP_B:=B; TEMP_C:="0000"; N:=0; IF(B>"0000")THEN WHILE(N<4) LOOP TEMP_C:=TEMP_C(2 DOWNTO 0)& TEMP_A(3); TEMP_A:=TEMP_A(2 DOWNTO 0)&'0'; IF TEMP_C>=TEMP_B THEN TEMP_C:=TEMP_C-TEMP_B; TEMP_A(0):='1'; END IF; N:=N+1; END LOOP; ELSE TEMP_A:="ZZZZ"; TEMP_C:="ZZZZ"; END IF; D<=TEMP_A(3 DOWNTO 0);C<=TEMP_C(3 DOWNTO 0); END PROCESS; END ARCHITECTURE BEHAV; 4、仿真结果: 图中:A、B、C、D分别是被除数、除数、余数和商,因本人对软件和语言的运用理解不足,无法做到A的同时输入和输出,故将A的结果赋值给D,但基本思路运算方法已经得到实现。 除数为零时,商和余数都为高阻态;

四位二进制数1011的典型格林码为(

《数 字 逻 辑》 复 习 题 1、(321)10=( )2=( )16 2、(AB.C )16=( )2=( )10 3、(-1011011)2 =( )补 4、(32)10=( )8421BCD =( )余三BCD 5、(216)10=( )2421BCD =( )5421BCD 6、(-29)10 =( )9补 7、(-128.6)10=( )9补=( )10补 8、四位二进制数1011的典型格林码为( ) 9、用逻辑代数公理和定理证明: ①B A B A B A B A +=⊕ ②)B A (⊕⊙B A AB = ③C AB C B A C B A ABC A ++=? 10、利用公式法和卡诺图法化简下列函数: ① F (A 、B 、C 、D )=∑m (2、3、4、5、10、11、12、13) ② F (A 、B 、C 、D )=∏M (2、4、6、10、11、12、13、14、15) 11、设计一个“四舍五入”电路,该电路输入为一位十进制的8421码,当其值大于或等于5时输出F 的值为1,否则F 的值为0。 12、设计一代码转换电路,将4位二进制数转换成格林码。 13、什么是组合电路,说明组合电路的分析和设计的基本过程。 14、举例说明什么是Mealy 型电路和Moore 型电路,并说明其在分析和设计时的过程。 15、什么是同步时序电路,说明其分析和设计的基本过程。 16、什么是脉冲型异步时序电路,说明其分析和设计的基本过程,并说明其对输入的要求。 17、什么是电平型异步时序电路,说明其分析和设计的基本过程,并说明其对输入的要求及与组合电路的区别。 18、什么是PAL ,举例说明利用PAL 进行时序逻辑电路设计的基本方法和注意事项。 19、说明D 触发器的功能及状态方程。 20、说明JK 触发器及T 触发器的功能及状态方程。 21、什么是等效状态,并说明对完全给定状态表化简的基本过程。 22、什么是相容状态,并说明对不完全给定状态表化简过程。 23、设计一个二位二进制+/-可逆计数器。 24、用与非门设计一个组合电路,该电路输入为一位十进制的8421BCD 码,当输入的数字1的个数为奇数时,输出F 为1,否则F 为0。 25、给出如下同步时序逻辑电路的状态表,分别用D 、JK 、T 触发器实现该电路,并说明用哪一种触发器组成的电路简单。

4位无符号二进制除法器

4????·?o???′???3?·¨?÷.txtè??ú???aμ?2?ê??ù??μ?????£???ê??ù3ˉμ?·′?ò?£2??aó?×?μoμ??è?óè¥oaá?±?è?μ???óè£?·??òóà??ê?±§?1?£LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY chufaqi IS PORT( wfu1,wfu2 : IN STD_LOGIC_VECTOR( 3 downto 0); shang,yushu : OUT STD_LOGIC_VECTOR( 3 downto 0) ); END chufaqi; ARCHITECTURE a OF chufaqi IS SIGNAL remain0,remain1,remain2,remain3 : STD_LOGIC_VECTOR( 6 downto 0); SIGNAL diver0,diver1,diver2,diver3 : STD_LOGIC_VECTOR( 6 downto 0); BEGIN PROCESS (wfu1,wfu2) BEGIN remain3 <= "000" & wfu1; diver3 <= wfu2 & "000"; if remain3 >= diver3 then shang(3) <= '1'; remain2 <= diver3; else shang(3) <= '0'; remain2 <= remain3; END IF; diver2 <= "0" & wfu2 & "00"; if remain2 >= diver2 then shang(2) <= '1'; remain1 <= remain2 - diver2; else shang(2) <= '0'; remain1 <= remain2; END IF; diver1 <= "00" & wfu2 & "0"; if remain1 >= diver1 then shang(1) <= '1'; remain0 <= remain1 - diver1; else shang(1) <= '0';

32位除法器的设计

subdesign divt ( a[31..0],b[31..0],inclk,trig:input; c[31..0],oe : output; ) variable ma[32..0],mb[32..0]:dff; mmc[32..0]:dff; mc[2..0],md[5..0] :dff; begin mmc[].clk=inclk; ma[].clk=inclk; mb[].clk=inclk; md[].clk=inclk; mc[].clk=inclk; case mc[] is when 0=> mb[]=(0,b[]);ma[]=(0,a[]); if trig==gnd then st[]=1; else st[]=0; end if; md[]=md[]; when 1 => ma[]=ma[];md[]=md[]+1; if mb[31]==gnd then FOR i IN 31 TO 1 GENERATE mb[i] =mb[i-1] ; END GENERATE;

mc[]=1; else mb[]=mb[]; mc[]=2; end if; when 2=> mb[]=mb[]; md[]=md[]; if ma[]>=mb[] then ma[]=ma[]-mb[]; mc[]=4;mmc[]=mmc[]+1; else mc[]=3;mmc[]=mmc[]; ma[]=ma[]; end if; when 3=> mc[]=4; FOR n IN 32 TO 1 GENERATE ma[n] =ma[n-1] ; mmc[n]=mmc[n-1]; END GENERATE; mb[]=mb[]; md[]=md[]-1; when 4=> ma[]=ma[];mmc[]=mmc[]; mb[]=mb[]; if md[]==1 then mc[]=5;md[]=md[]; else mc[]=2;md[]=md[];

二进制数的算术运算

《数字电路与逻辑设计》 教 案 试讲教师:孙发贵 工作单位:北京化工大学北方学院

教学内容与过程 (一)讲解新课 在数字电路中,0和1既可以表示逻辑状态,又可表示数量的大小。当表示数量时,可以进行算术运算。 与十进制数的算术运算相比 1:运算的规则类似; 2:进位和借位规则不同(逢二进一,借一当二) 特点:加、减、乘、除全部可以用相加和移位这两种操作实现。——简化了电路结构所以数字电路中普遍采用二进制算数运算。 一、无符号二进制数的算术运算: 1、二进制数加法: 运算规则:0+0=0,0+1=1,1+1=10(向高位进一)—逢二进一 例:计算二进制数1010和0101的和。 2、二进制数减法: 运算规则:0-0=0,1-1=0,1-0=1, 0-1=11(向高位借一)—借一当二 例:计算二进制数1010和0101的差。 注意:在无符号减法运算中无法表示负数,所以,被减数必须大于减数。 3、二进制数乘法: 由左移被乘数与加法运算构成。 例:计算二进制数1010和0101的积。

4、二进制数除法: 由右移被除数与减法运算构成。 例:计算二进制数1010和111之商。 二、带符号二进制数的减法运算: 二进制数的正、负号也是用0/1表示的。 最高位为符号位(0为正,1为负) 例如: +89 = (0 1011001) -89 = (1 1011001) 在数字电路中,为简化电路常将减法运算变为加法运算。故引入原码、反码、补码的概念。 1、原码、反码、补码: 1) 原码:自然二进制码01101=(13)D 2) 反码:原码取反10010=(18)D N反=(2n–1)–N原,其中n为二进制数的位数 3) 补码:N补=2n-N原=N反+1 01101=(13)D 10010=(13)反 (13)补:(25-13) D=(19)D=10010+1=10011=(19)D 2、二进制数的补码表示: 补码或反码的最高位为符号位,正数为0,负数为1。 当二进制数为正数时,其补码、反码与原码相同。 当二进制数为负数时,将原码的数值位逐位求反,然后在最低位加1得到补码。 X1 = 85 = +1010101 [X1]原= [X1]反=[X1]补=01010101 X2 = -85 = -1010101 [X2]原= 11010101

数字化信息编码的概念和二进制编码的知识

2进制数 数制是人们利用符号进行计数的科学方法。数制有很多种,在计算机中常用的数制有:十进制,二进制和十六进制。 1.十进制数 人们通常使用的是十进制。它的特点有两个:有0,1,2….9十个基本字符组成,十进制数运算是按“逢十进一”的规则进行的. 在计算机中,除了十进制数外,经常使用的数制还有二进制数和十六进制数.在运算中它们分别遵循的是逢二进一和逢十六进一的法则. 2.二进制数 3.二进制数有两个特点:它由两个基本字符0,1组成,二进制数运算规律是逢二进一。 为区别于其它进制数,二进制数的书写通常在数的右下方注上基数2,或加后面加B表示。 例如:二进制数10110011可以写成(10110011)2,或写成10110011B,对于十进制数可以不加注.计算机中的数据均采用二进制数表示,这是因为二进制数具有以下特点: 1)二进制数中只有两个字符0和1,表示具有两个不同稳定状态的元器件。例如,电路中有,无电流,有电流用1表示,无电流用0表示。类似的还比如电路中电压的高,低,晶体管的导通和截止等。 2)二进制数运算简单,大大简化了计算中运算部件的结构。 二进制数的加法和乘法运算如下: 0+0=0 0+1=1+0=1 1+1=10 0×0=0 0×1=1×0=0 1×1=1 由于二进制数在使用中位数太长,不容易记忆,所以又提出了十六进制数. 3.十六进制数

十六进制数有两个基本特点:它由十六个字符0~9以及A,B,C,D,E,F组成(它们分别表示十进制数0~15),十六进制数运算规律是逢十六进一,鹩谄渌剖氖樾赐ǔT谑挠蚁路阶⑸保叮蚣雍竺婕樱缺硎尽?/SPAN> 例如:十六进制数4AC8可写成(4AC8)16,或写成4AC8H。 4.数的位权概念 5.一个十进制数110,其中百位上的1表示1个102,既100,十位的1表示1个101,即10,个位的0表示0个100,即0。 一个二进制数110,其中高位的1表示1个22,即4,低位的1表示1个21,即2,最低位的0表示0个20,即0。 一个十六进制数110,其中高位的1表示1个162,即256,低位的1表示1个161,即16,最低位的0表示0个160,即0。 可见,在数制中,各位数字所表示值的大小不仅与该数字本身的大小有关,还与该数字所在的位置有关,我们称这关系为数的位权。 十进制数的位权是以10为底的幂,二进制数的位权是以2为底的幂,十六进制数的位权是以16为底的幂。数位由高向低,以降幂的方式排列。 1.二进制数、十六进制数转换为十进制数(按权求和) 二进制数、十六进制数转换为十进制数的规律是相同的。把二进制数(或十六进制数)按位权形式展开多项式和的形式,求其最后的和,就是其对应的十进制数——简称“按权求和”. 例如:把(1001.01)2转换为十进制数。 解:(1001.01)2 =1×23+0×22+0×21+1×20+0×2-1+1×2-2 =8+0+0+1+0.5+0.25

《四位二进制》 汪洋

《电工与电子技术基础》课程设计报告 题目四位二进制加法器 学院(部)汽车学院 专业汽车运用工程 班级2013220202 学生姓名汪洋 学号201222020227 6 月20 日至6 月2 7 日共1 周

四位二进制加法器 一.主要技术指标和要求 (1)四位二进制加数与被加数输入; (2)二位数码管显示。 二.摘要 四位二进制加法器的设计包括:1、四位二进制加数和被加数的输入,2、两个数的相加运算及和的输出,3、将两个数的和通过译码器显示在数码管上。二进制数的输入可以通过数据开关实现,用加法器可以进行二进制数的加法运算。两个四位二进制数相加后的和在十进制数的0~30内,其中产生的进位和对十进制数十位的判断和显示是重点和难点,这需要通过译码器来实现。最后用两个BS204数码管进行二位显示。 三.总体方案的论证及选择 通过数据开关将A3,A2,A1,A0和B3,B2,B1,B0信号作为加数和被加数输入到超前进位加法器74LS283的8个输入端实现,四位二进制相加,将输出信号S4,S3,S2,S1和向高位的进位C1通过一译码器译码。再将输出X4,X3,X2,X1和Y4,Y3,Y2,Y1分别通过一个74LS247译码器,最后分别通过共阳极数码管BS204实现二位显示。

1.加法器的选择 加法器是一种逻辑组合电路,主要功能是实现二进制数的算数加法运算。加法器有两种:串行进位加法器和超前进位加法器。串行进位加法器高位的运算必须等到低位的加法运算完成后送来的进位才能进行,虽然电路简单,但运行速度慢,位数越多,进位越慢;超前进位加法器是由逻辑电路根据输入信号同时形成各位向高位的进位,使各位的进位直接由加数和被加数决定,而不依赖低位的进位,省去了逐级进位所用的时间,因此这种加法器速度快,所以我们选择超前进位加法器,其型号有多种,再此,选择74LS283型加法器。 2.译码器的选择 译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输入信号。译码器是组合逻辑电路的一个重要器件。译码器的种类有多种,其中显示译码器最典型,应用广泛,其又分为七段译码器和八段译码器,在此选择七段译码器,可供选择的译码器有74LS247,74LS47,74LS248,74LS48四种,74LS247,74LS47的引脚排列分别和74LS248,74LS48的引脚排列一模一样,功能也差不多,但前两者控制共阳极数码管,后两者控制共阴极数码管,最终我们选择74LS247译码器。 74LS247型七段显示译码器的主要功能是把8421BCD译成对应于数码管的7个字段信号并驱动数码管,显示出相应的十进制数码。

四位二进制数可控加减法 上海交通大学电子技术实验大作业

《四位二进制数可控加减法》实验报告 实验名称: 四位二进制数可控加减法 姓名: 学号: 班级:

目录 一、实验方案 (3) 二、设计思路................................................................................ 错误!未定义书签。 三、程序代码................................................................................ 错误!未定义书签。 四、调试问题 (6) 五、心得感想 (7)

一、实验方案 1)基本功能 实现两个四位二进制数的加减法运算,能够在led灯和数码管显示出结果。 2)清零功能 利用一个微动开关,当微动开关按下时结果清零显示。 3)数码管显示 将结果转换为七段显示器显示。将运算结果输送到数码管中。利用到人的视觉误差和短暂延时显示四位运算结果。 4)溢出问题 若有溢出,则数码管显示“E”。 二、设计思路 基本功能中分为连个模块,主模块用来运算加减法以及记录溢出和结果,子模块用来进行七段数码管的显示。 扩展功能中数码管显示要利用暂留现象,因此利用时钟clk来进行设计。 三、程序代码 module show_sub( input [1:0]num, output reg [6:0] a_to_g ); always @(*) case(num) 2'b00: a_to_g=7'b1000000; 2'b01: a_to_g=7'b1111001; 2'b10: a_to_g=7'b1111111; 2'b11: a_to_g=7'b0000110;

四位二进制除法器

四位二进制除法器设计 李振礼1111082008 1、设计方法 采用移位相减法设计二进制除法器:被除数和除数都是二进制数,采用将除数移位的方法。1)判断除数和被除数是否为零:如果除数为零,返回等待;如果被除数为零,则商和余数都为零。2)被除数和除数都不为零时,判断被除数和除数的大小:如果除数大于被除数,则商为零,余数等于被除数;如果除数小于等于被除数,则对除数进行移位,第一次移位使被除数的最高位和除数的最低位对齐。然后对移位后的除数和被除数进行判断:如果被除数大于等于除数,则用被除数减去除数,并将所得结果做为新的被除数;如果被除数小于除数,则将除数在第一次移位的基础上右移一位,在对被除数和除数进行判断,根据被除数和除数的大小关系进行类似的操作。 2、算法流程图 图中:被除数和除数分别放在A、B中,商和余数分别放在S、和Y中,C作为移位计数器

3、VHDL程序代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; ---打开可能用到的库 entity dedect1 is port(A,B:in std_logic_vector(3 downto 0); S,Y:out std_logic_vector(3 downto 0)); end entity dedect1; ----四位二进制除法器实体定义architecture behv of dedect1 is begin s1:process(A,B) ---进程开始敏感信号A、B variable tmpa,tmpb:std_logic_vector(7 downto 0);--中间变量定义 variable tmpc:std_logic_vector(3 downto 0); begin tmpa:="00000000"; tmpb:="00000000"; tmpc:="0000"; ---中间变量初始化 if(B>"0000")then ---B不为零(除数不为零) if(A>"0000")then ----A不为零(被除数不为零) if(A>B)then ----被除数大于除数时 tmpa(3 downto 0):=A; -----以下四条If语句完成求满足以上 tmpb(6 downto 3):=B; ---三个条件时被除数除以除数的商和余数 if(tmpa>=tmpb)then tmpa:=tmpa-tmpb; tmpc(3):='1'; else tmpc(3):='0'; end if; tmpb(5 downto 2):=B; tmpb(6):='0'; if(tmpa>=tmpb)then tmpa:=tmpa-tmpb; tmpc(2):='1'; else tmpc(2):='0'; end if; tmpb(4 downto 1):=B; tmpb(5):='0'; if(tmpa>=tmpb)then tmpa:=tmpa-tmpb; tmpc(1):='1'; else tmpc(1):='0';

二进制数的四则运算专题训练讲课稿

二进制数的四则运算 专题训练

二进制数的四则运算专题训练 知识梳理: 二进制数的四则运算法则: 加法法则: 0+0=0;0+1=1;1+0=1;1+1=10; 减法法则: 0×0=0; 0×1=0; 1×0=0; 1×1=1; 例题精讲: 1、加法运算: 1+1=10,本位记0,向高位进1. 2、减法运算: 被减数不够减,向高位借1。1当2,2-1=1。 3、乘法运算: 4、除法运算:

计算后要养成验算的习惯,二进制数四则运算的验算方法与十进制数相同: 加法验算时,用和减去其中的一个加数,它们的差应该等于另一个加数。 减法验算时,用差与减数相加,它们的和应该等于被减数。 乘法验算时,用积除以其中的一个因数,它们的商应该等于另一个因数。 除法验算时,用商乘以除数,乘积应该等于被除数;也可以用被除数除以商,看这时的商是否等于除数。 专题特训: 1、计算下面二进制数的加减法。 ①110+101②11010+10111 ③1001001+101110④10011-1111 ⑤11000-10001⑥1001001-10110 2、计算下面二进制数的乘除法。 ①110×101②1111×111 ③1110×1011④101101÷1001 ⑤100000÷100⑥1000110÷1010 3、计算下面二进制数的四则混合运算。 ①(11011)2+(10110)2×(110)2÷(1011)2 ②(10111)2×(1110)2+(110110)2÷(1001)2 4、计算下面二进制加法,你能发现什么? (11)2+(11)2= (101)2+(101)2= (1110)2+(1110)2= (1111)2+(1111)2= 5、计算下列二进制乘法,你发现了什么? (10)2×(101)2= (101)2×(1001)2= (1101)2×(10001)2= (11010)2×(100001)2=

相关文档
相关文档 最新文档