文档库 最新最全的文档下载
当前位置:文档库 › 东北大学嵌入式课设——电子密码锁

东北大学嵌入式课设——电子密码锁

东北大学嵌入式课设——电子密码锁
东北大学嵌入式课设——电子密码锁

东北大学信息学院电子信息工程专业嵌入式系统电子密码锁课程设计报告

题目:电子密码锁

姓名:

学号:

班级:

摘要

随着现代社会信息化进程的加快,嵌入式系统被广泛的地应用于军事、家用、工业、商业、办公、医疗等社会各个方面,表现出很强的投资价值。从国际范围来看,作为数字化电子信息产品核心的嵌入式系统目前其硬件和软件开发工具市场已经突破2000亿美元,嵌入式系统带来的全球工业年产值更是达到了一万亿美元,随着全球经济的持续增长以及信息化的加速发展,嵌入式系统市场必将进一步增长。本次课程设计,使用基于ARM7TDMI内核的华邦W90P710处理器,它提供了4K的指令缓存和4K的数据缓存,并具有强大的外设资源,同时具有一个AC97控制器,一个双向通道GDMA,一个LCD控制器,两个24位定时器,它的外部总线接口控制器支持SDRAM、ROM/SRAM、FLASH存储器和I/O设备的方便接入,还具有串行通信和以太网接口,以适应通信网关和其他的应用场合。

在人们对个人隐私等权益愈发注重的今天,个人信息及所有物的安全性不容忽视。而本次课程设计的题目——《基于华邦W90P710处理器的电子密码锁设计》就是基于这一现状给出的具有使用性的设计。实现了密码设定、三次密码输入、显示电子相册的功能。

关键字:华邦W90P710微处理器、电子密码锁、嵌入式系统

目录

目录...................................................................................................... - 3 - 一、题目设计意义及特点 ................................................................... - 3 -

1.1 设计意义及特点 ...................................................................... - 4 -

1.2 系统的主要功能 ...................................................................... - 4 -

二、系统功能设计 ............................................................................... - 5 -

2.1 硬件设计及描述 ...................................................................... - 5 -

2.1.1系统功能模块整体 .......................................................... - 5 -

2.1.2各模块作用及硬件连接图 .............................................. - 5 -

2.2 软件设计及描述 .................................................................... - 8 -

2.2.1 程序流程框图 ............................................................... - 8 -

2.2.2 源程序代码 ..................................................................... - 9 -

三、课程设计体会 (24)

四、参考文献 (24)

一、题目设计意义及特点

1.1设计意义及特点

(1)设计意义

如今个人物品等问题开始暴露,传统的密码锁容易损坏、丢失,实用性、安

全性很低,而电子密码锁因其绝对的精度使信息及物品的保密称为可能。此次题

目具有很强的实际意义。

另外,在老师对程序例子的讲解及自己的理解之后,通过查阅资料在原有程

序上进行改写、融合和添加,设计出了电子密码锁,提高了自己的程序阅读能力,

并了解了嵌入式系统的开发流程,应用软件的使用方法。增强了自主学习能力,

能与课本知识相融合,更加灵活的使用ARM变成语言。

(2)特点

电子密码锁与电子相册相结合,在登录成功后播放相册;

具有密码设定及三次输入机会。

1.2系统的主要功能

(1)设置密码:

LCD显示“请输入密码”,从键盘输入任意6位数字作为密码存入寄存器,设置成功。

(2)通过密码登陆系统:

LCD显示“请输入密码”从键盘输入密码,比较键盘输入的密码与Flash 中是否相,每次按键则相应LED灯点亮;

如果密码正确,则LED灯全亮,LCD显示“密码正确”,并且开始切换图片,播放电子相册;

如果第一次密码不正确,则LED灯闪烁,LCD显示“密码不正确,请重新输入”;如果第二次密码不正确,则LED灯闪烁,LCD显示“密码不正

确,您还有一次机会,请重新输入”;如果第三次密码不正确,则LED灯闪

烁,LCD显示“对不起,您已经连续三次输入密码错误,系统锁定”,锁定

系统,无法进行任何操作。

(3)电子相册

显示“密码正确”后,自动播放电子相册,多张图片顺序播放。

二、系统功能设计

2.1 硬件设计及描述

2.1.1系统功能模块整体

2.1.2各模块作用及硬件连接图

(1)华邦嵌入式处理器芯片W90P710

W90P710为此次课程设计的中心和运行平台。它是基于ARM7TDMI内核的处理器,提供了4K的指令缓存和4K的数据缓存,并具有强大的外设资源,包括一个USB1.1主控制器,一个SD/SDIO主控制器,4个独立的UART接口,一个看门狗定时器,71个可编程I/O口,PS/2键盘控制器和高级中断控制器AIC,同时具有一个AC97控制器,一个双向通道GDMA,一个LCD控制器,两个24位定时器,它的外部总线接口控制器支持SDRAM、ROM/SRAM、FLASH存储器和I/O设备的方便接入,还具有串行通信和以太网接口,以适应通信网关和其他的应用场合。

(2)LCD显示模块

90P710试验板,使用的是AUO 3.0" TFT A030DL01(960x240, 8 位数据总线)数字式TFT 液晶屏,可以将video/OSD的原始图像数据显示到外部显示设备。TFT液晶屏的显示是对屏上每个像素点进行操作,通过向这些像素点配置不同的值,以显示不同的颜色,由于其内部没有缓存,所要显示的数据要随着时钟脉冲一点一点的传送过来。因此,它需要在中开辟出一片缓冲区,将要显示的数据先存放到的缓冲区中,即存在SDRAM 中,一般按照一维数组的形式进行存储,然后把存储数据的SDRAM的地址送给FIFO,然后送给颜色生成器,进而实现在屏幕上的显示;如果在屏上的定位显示,算出所要显示的内容在屏幕上的位

置,然后在相应的SDRAM的地址内存入要显示的数据即可。

(3)键盘输入模块

通过外部键盘输入及软件编程实现键盘对系统的控制

(4)LED模块

2.2 软件设计及描述

2.2.1 程序流程框图

主函数中,函数调用了KPI、USI、LCD、AC-97、LED初始化程序。

第一次输入为设定密码,之后1~3次为密码匹配,成功则播放相册,否则三次后锁定密码锁。

程序框图如下:

2.2.2 源程序代码 主程序部分

#include #include "710defs.h" #include "HB_it.h" #include "main.h"

#include "lcd_pattern.h"

extern int c;

N Y Y Y 开始

系统初始化

读取密码设定标志

是否已设定密码 设定密码写入FLASH 是否超过三次

密码锁锁定

输入密码 密码是否正确 电子相册

结束 N N

extern U8 shuru;

extern U8 temp;

extern U8 a[6];

extern U8 b[6];

extern int p;

int main(void)

{ //USI寄存器初始化

int cnt,i;

U8 wchar1[]= "请输密码";

U8 wchar2[]= "密码正确";

U8 wchar3[]= "密码错误";

U8 wchar4[]= "错误超过三次系统锁定";

LCD_IMAGE_T LCD_Size;

LCD_LOCATION_T LCD_Location;

LCDShowParameter LSP;

LCD_Size.width = 480;

LCD_Size.height = 240;

LCD_Location.StartX = 0;

LCD_Location.StartY = 0;

LCD_Location.EndX = 960;

LCD_Location.EndY = 240;

printf("***************USI测试程序**********************\n");

printf("*************Flash型号W25P10********************\n");

USIInit();

//读器件ID

printf("读器件ID:");

USIRead_ID();

//擦除扇区0

printf("写使能:\n");

USIWriteEnable();

while(USICheckBusy());

USISectorErease(0x0);

for(cnt=0;cnt<6;cnt++)

a[cnt] =cnt;//发送数据初始化

LCDInit();

LCDShow(LCD_Size, LCD_Location);

LCDFIFOBufferSet(BlackBoard); LCDDisplayOn();

LSP.StartX = 8;

LSP.StartY = 7;

LSP.LibPlace = 0x400000;

LSP.Color = 0x07ff;

LSP.LetterChar = wchar1;

LSP.LCDBuffer = BlackBoard;

LCDOutputShow(LSP,4);

KPIInit();

printf("Please press keys.\n");

while(1)

{

if(p==1)

{

LSP.StartX = 8;

LSP.StartY = 7;

LSP.LibPlace = 0x400000;

LSP.Color = 0x07ff;

LSP.LetterChar = wchar2;

LSP.LCDBuffer = BlackBoard; LCDDisplayOn(); LCDOutputShow(LSP,4);

EBILedInit(0x01);

LCDFIFOBufferSet(BlackBoard); temp = (U32)BlackBoard1;

Delay(6000000); LCDFIFOBufferSet(BlackBoard); temp = (U32)BlackBoard;

Delay(6000000); LCDFIFOBufferSet(BlackBoard1); temp = (U32)BlackBoard1;

Delay(6000000);

LCDFIFOBufferSet(BlackBoard1);

temp = (U32)BlackBoard1;

Delay(6000000);

}

if(p==2)

{

LSP.StartX = 8;

LSP.StartY = 7;

LSP.LibPlace = 0x400000;

LSP.Color = 0x07ff;

LSP.LetterChar = wchar3;

LSP.LCDBuffer = BlackBoard;

LCDOutputShow(LSP,4);

EBILedInit(0x01);

Delay(0x80000);

EBILedInit(0x00);

Delay(0x80000);

}

if(p==3)

{

LSP.StartX = 8;

LSP.StartY = 7;

LSP.LibPlace = 0x400000;

LSP.Color = 0x07ff;

LSP.LetterChar = wchar4;

LSP.LCDBuffer = BlackBoard;

LCDOutputShow(LSP,10);

EBILedInit(0x01);

Delay(0x80000);

EBILedInit(0x00);

Delay(0x80000);

}

}

return 0;

}

/****************************************************************************** *

* Function Name : KPIInit

* Description : KPI初始化

* Input : None

* Output : None

* Return : None

******************************************************************************* /

void KPIInit(void)

{

REG_GPIO_CFG2 |= 0x000aaaaa; //将GPIO设置为KPI模式

REG_GPIO_CFG2 &= 0xfffaaaaa;

REG_AIC_SCR29 = 0x00000045; //将KPI中断设置为高电平有效,优先级为5

REG_AIC_MECR = 0x20000000;

REG_KPICONF = 0x00142fff;

}

/****************************************************************************** *

* Function Name : USIInit

* Description : 初始化USI,设置GPIO口为USI模式,配置SCLK串行时钟

* Input : None

* Output : None

* Return : None

******************************************************************************* /

void USIInit(void)

{

REG_GPIO_CFG5 = 0x000AA000;

REG_USI_DIVIDER = 0x1;

REG_USI_CNTRL = 0x00000044;

REG_USI_SSR=0x0;

}

/****************************************************************************** *

* Function Name : Delay

* Description : 用于不精确延时

* Input : 延时时间

* Output : None

* Return : None

******************************************************************************* /

void Delay(U32 t)

{

do

{

t--;

}while(t);

}

/****************************************************************************** *

* Function Name : USICheckBusy

* Description : 检测Flash状态寄存器“忙”位

* Input : None

* Output : None

* Return : None

******************************************************************************* /

U8 USICheckBusy(void)

{

REG_USI_Tx0 = 0x05; //读状态寄存器命令

REG_USI_CNTRL = 0x00000044; //发送8位

REG_USI_SSR = 0x1;//CS=0

REG_USI_CNTRL = REG_USI_CNTRL | 0x01;//启动发送

while(REG_USI_CNTRL & 0x1);//判断是否发送完成

while(1)

{

REG_USI_Tx0 = 0xff;//发送ff提供接收时钟,把状态寄存器的数据读回接收寄存器

REG_USI_CNTRL = 0x00000044;//发送8位

REG_USI_SSR=0x1;//CS=0

REG_USI_CNTRL =REG_USI_CNTRL|0x01;//启动发送

if(((REG_USI_Rx0 & 0xff) & 0x01) != 0x01)//等待检测状态寄存器的忙状态

{

break;

}

}

printf("Busy=0x%x\n",REG_USI_Rx0);

REG_USI_SSR=0x0; //CS=1

return 0;

}

/****************************************************************************** *

* Function Name : USIWriteEnable

* Description : 写使能,在写数据到flash存储器或者擦除操作时前要加上写使能

* Input : None

* Output : None

* Return : None

******************************************************************************* /

void USIWriteEnable(void)

{

REG_USI_Tx0 = 0x06;//写使能命令

REG_USI_CNTRL = 0x00000044;

REG_USI_SSR = 0x1;//CS=0

REG_USI_CNTRL = REG_USI_CNTRL | 0x01;//启动发送

while(REG_USI_CNTRL & 0x1);//等待发送结束

REG_USI_SSR = 0x0;//CS=1

}

/****************************************************************************** *

* Function Name : USIRead

* Description : 从Flash读一个字节

* Input : None

* Output : None

* Return : None

******************************************************************************* /

void USIRead(U32 R_addr,U8 *Read_buff)

{

U32 Read_cnt;

U8 Rx_temp;

//传送8位命令

REG_USI_Tx0 = 0x03;//读数据命令

REG_USI_CNTRL = 0x00000044;

REG_USI_SSR = 0x1;

REG_USI_CNTRL = REG_USI_CNTRL | 0x01;

while(REG_USI_CNTRL&0x1);

//传送24位地址

REG_USI_Tx0 = R_addr;

REG_USI_CNTRL = 0x000000c4;

REG_USI_CNTRL = REG_USI_CNTRL | 0x01;

while(REG_USI_CNTRL & 0x1);

//读出flash某一页的数据

for(Read_cnt = 0; Read_cnt <6; Read_cnt++)

{

REG_USI_Tx0 = 0xff;

REG_USI_CNTRL = 0x00000044;

REG_USI_CNTRL = REG_USI_CNTRL | 0x01;

while(REG_USI_CNTRL & 0x1);

Rx_temp=REG_USI_Rx0;

*(Read_buff++) =Rx_temp;

printf("Address\t\t0x%x: \t\t%d\n",Read_cnt,Rx_temp);

}

REG_USI_SSR=0x0;

printf("接收完成.....\n");

}

/****************************************************************************** *

* Function Name : USIWrite

* Description : 向Flash写一个字节

* Input : None

* Output : None

* Return : None

******************************************************************************* /

void USIWrite(U32 W_addr, U8 *W_buff)

{

U16 Write_cnt;

//传送8位命令

REG_USI_Tx0 = 0x02;//写命令

REG_USI_CNTRL = 0x00000044;

REG_USI_SSR = 0x1;

REG_USI_CNTRL = REG_USI_CNTRL | 0x01;

while(REG_USI_CNTRL & 0x1);

//传送24位地址

REG_USI_Tx0 = W_addr;

REG_USI_CNTRL = 0x000000c4;

REG_USI_CNTRL = REG_USI_CNTRL | 0x01;

while(REG_USI_CNTRL & 0x1);

//传送8位数据

for(Write_cnt=0;Write_cnt<6;Write_cnt++)

{

REG_USI_Tx0 = *(W_buff++);

REG_USI_CNTRL = 0x00000044;

REG_USI_CNTRL = REG_USI_CNTRL | 0x01;

while(REG_USI_CNTRL & 0x1);

}

REG_USI_SSR = 0x0;

while(USICheckBusy()); //检测是否写完

printf("写数据完成....\n");

}

/****************************************************************************** *

* Function Name : USIRead_ID

* Description : 正确的ID=0xEF10

* Input : None

* Output : None

* Return : None

******************************************************************************* /

void USIRead_ID(void)

{

U8 instruction_code = 0x90;//读ID命令

U32 Device_ID;

REG_USI_SSR = 0x1;

REG_USI_CNTRL = 0x00000004;

REG_USI_Tx0 = instruction_code << 24;

REG_USI_CNTRL = REG_USI_CNTRL | 0x01;

while(REG_USI_CNTRL & 0x1);

REG_USI_Tx0 = 0xffff;//提供读数据时钟

REG_USI_CNTRL = REG_USI_CNTRL | 0x01;

while(REG_USI_CNTRL & 0x1);

Device_ID = REG_USI_Rx0;

REG_USI_SSR = 0x0;

printf("Device_ID=0x%x\n", Device_ID & 0xffff);

}

/****************************************************************************** *

* Function Name : chip_erease()

* Description :

* Input : None

* Output : None

* Return : None

******************************************************************************* /

void USISectorErease(U32 Erea_addr)

{

USIWriteEnable();

REG_USI_Tx0 = 0xD8;//扇区擦除命令

REG_USI_CNTRL = 0x00000044;

REG_USI_SSR=0x1;

REG_USI_CNTRL = REG_USI_CNTRL|0x01;

while(REG_USI_CNTRL & 0x1);

REG_USI_Tx0 = Erea_addr;

REG_USI_CNTRL = 0x000000C4;

REG_USI_CNTRL = REG_USI_CNTRL | 0x01;

while(REG_USI_CNTRL & 0x1);

REG_USI_SSR = 0x0;

while(USICheckBusy());//等待检测是否擦除结束

printf("擦除完成....\n");

}

/****************************************************************************** *

* Function Name : Write_disable()

* Description : OK

* Input : None

* Output : None

* Return : None

******************************************************************************* /

void USIWriteDisable(void)

{

REG_USI_Tx0 = 0x04;//禁止写命令

REG_USI_CNTRL = 0x00000044;

REG_USI_SSR = 0x1;

REG_USI_CNTRL = REG_USI_CNTRL | 0x01;

while(REG_USI_CNTRL & 0x1);

REG_USI_SSR = 0x0;

}

/****************************************************************************** *

* Function Name : EBILedInit

* Description : LED的初始化

* Input : LED的状态值

* Output : None

* Return : None

******************************************************************************* /

void EBILedInit(U8 Value)

{

REG_EXT0CON = EBI_EXT0_V ALUE;

EBILedSet(Value);

}

/****************************************************************************** *

* Function Name : EBILedSet

* Description : 用于控制LED的亮灭

* Input : LED的状态值

* Output : None

* Return : None

******************************************************************************* /

void EBILedSet(U8 Value)

{

(VPint(EBILED_ADDRESS)) = ~Value;

}

/****************************************************************************** *

* Function Name : LCDInit

* Description : LCD初始化

* Input : None

* Output : None

* Return : None

******************************************************************************* /

void LCDInit(void)

{

REG_GPIO_CFG6 = 0x555555;

REG_LCD_LCDCON = 0x30c05;

REG_LCD_FIFO1PRM = 0xa;

REG_LCD_F1DREQCNT = 0x1e000f0;

REG_LCD_FIFO1RELACOLCNT = 0x1e0;

//LCD CLK

REG_LCD_LCDTCON1 = 0x3b166;

REG_LCD_LCDTCON2 = 0x3bf00f1;

REG_LCD_LCDTCON3 = 0x105401;

REG_LCD_LCDTCON4 = 0x20101;

REG_LCD_LCDTCON5 = 0xe;

}

/****************************************************************************** *

* Function Name : LCDShow

* Description : 设置LCD显示位置

* Input : None

* Output : None

* Return : None

******************************************************************************* /

void LCDShow(LCD_IMAGE_T Size, LCD_LOCA TION_T Location)

{

REG_LCD_F1DREQCNT = (Size.width <<15 ) + Size.height;

REG_LCD_FIFO1RELACOLCNT = (Size.width >> 1);

REG_LCD_DISPWINS = (Location.StartY << 16) + Location.StartX;

REG_LCD_DISPWINE = (Location.EndY << 16) + Location.EndX;

}

/****************************************************************************** *

* Function Name : LCDFIFOBufferSet

* Description : 指向LCD缓冲区存取图像内容

* Input : 数组名称

* Output : None

* Return : None

******************************************************************************* /

void LCDFIFOBufferSet(PUINT8 Buffer)

{

REG_LCD_F1SADDR = (U32) Buffer;

}

/****************************************************************************** *

* Function Name : LCDDisplayOn

* Description : 打开LCD

* Input : None

* Output : None

基于51单片机的无线电子密码锁课程设计[优质文档]

单片机课程设计报告书 基于89C51单片机 课题名称 无线电子密码锁的设计姓名刘武 学号131220330 学院通信与电子工程学院 专业电子信息工程 指导教师祝秋香讲师 2015年12月20日

基于89C51单片机无线电子密码锁的设计 1 设计目的 (1)了解MCS-51单片机使用方法和熟悉蓝牙模块; (2)掌握AT89C51芯片的使用方法及蓝牙模块的连接与使用; (3)熟悉单片机程序仿真软件Proteus的使用; (4)了解MCS-51单片机开发板工作原理以及芯片的组合; (5)熟悉无线电子密码锁的设计及实现。 2设计思路 2.1 选择设计电路 设计51单片机与蓝牙连接的电路。 2.2 所选设计电路的原因 (1)由于无线电子密码锁的设计需要用到蓝牙模块,用到的单片机资源并不是很多,而51单片机的资源有8位CPU、4KB的ROM、128B的RAM、2个16位定时/计数器、4组8位的I/O、1个串口和5个中断源,可以更有效率的利用到51单片机的资源。 (2)可现实无线功能的模块有:蓝牙模块、无线模块、红外遥控模块,因为相对之下,蓝牙模块更容易实现,更容易操作,最后选择蓝牙模块实现手机远程控制。 (3)为了提高效率,可以利用51单片机开发板的硬件,用LED灯模拟密码锁的开关,当LED灯亮起时代表锁已经开启,熄灭则代表密码锁被关闭。应用在实际中可用继电器替代LED的亮灭去控制强电开关。 3 设计过程 3.1 设计总框图 无线电子密码锁分为两个主要功能模块:51单片机模块,蓝牙实现模块。这两个模块共同工作完成本电路的功能实现。其中利用AT89C51芯片来实现51单片机模块功能,完成数码管、发光二极管的实现,同时利用HC06蓝牙模块来

《东北大学机械基础课程设计》设计说明书

机械设计基础课程设计计算说明书 题目:设计胶带输送机的传动装置 班级:冶金工程1103 姓名:马林林 学号:20110075 指导教师: 成绩: 2013 年07 月07 日

1、设计内容 1.1设计题目 1.2工作条件 1.3技术条件 2、传动装置总体设计 2.1电动机选择 2.2分配传动比 2.3传动装置的运动和动力参数计算 3、传动零件设计计算以及校核3.1减速器以外的传动零件设计计算 3.2减速器内部传动零件设计计算 4、轴的计算 4.1初步确定轴的直径 4.2轴的强度校核 5、滚动轴承的选择及其寿命验算5.1初选滚动轴承的型号 5.2滚动轴承寿命的胶合计算 6、键连接选择和验算 7、连轴器的选择和验算

kw w 30.3=

一对滚动轴承效率 η2=0.99 闭式齿轮的传动效率 η3=0.97(8级) 开式滚子链传动效率 η4=0.92 一对滑动轴承的效率 η5=0.97 传动滚筒的效率 η6=0.96 8063 .096.097.092.097.099.099.026 5432 21=?????=?????=ηηηηηηη 8063.0=η (3)所需的电动机的功率 Kw p p w r 09.48063 .030.3=== η Kw p r 09.4= 即Pr=4.09kw 查表2-18-1可选的Y 系列三相异步电动机Y132M2-6型, 额定kw P 5.50=。满足r P P >0,其主要性能见表。 2.1.3确定电动机转速 传动滚筒转速 min /4.102280 1000 5.16060w r D v n =???==ππ 现以同步转速为Y132S-4型(1500r/min ) 及Y132M2-6 型(1000r/min )两种方案比较,查得电动机数据 使传动装置结构紧凑,选用方案2。电动机型号为Y132M2-6。 由表2-18-1和表2-18-2查得其主要性能技术数和安装尺寸 数据列于下表

电子密码锁使用说明

基于51单片机的简易电子密码锁 使用说明 一、实现功能: 1、设置6位密码,密码通过键盘输入,若密码正确,则将锁打开。 2、密码可以由用户自己修改设定(只支持6位密码),锁打开后 才能修改密码。修改密码之前必须再次输入密码,在输入新密 码时候需要二次确认,以防止误操作。 3、报警、锁定键盘功能。密码输入错误显示器会出现错误提示, 若密码输入错误次数超过3次,蜂鸣器报警并且锁定键盘。 4、AT24C02保存密码,支持复位保存,掉电保存功能。 二、按键说明 按键定义图

如图示:采用4X4键盘输入,键盘对应名称如下: 1 2 3 A 4 5 6 B 7 8 9 C * 0 # D 其中,【0—9】为数字键,用于输入相应的密码, 【*】号键为取消当前操作 【#】号键为确认 【D】键为修改密码 其它键无功能及定义 三、作用说明: 密码锁初始密码为:000000. 1、开锁:插上电源后,程序自动调入初始密码,此时依次输 入:000000,然后按【#】(确认)键,此时锁会打开,可以 看到显示open,密码锁打开。(如为自己焊接,请首次使用 输入:131420,对密码进行初始化,当显示出现:initpassword, 证明密码初始化完成,此时初始密码即为:000000)。 2、退出并关锁:按下【*】(取消)键,此时锁关闭,所有输 入清除。 3、修改密码:在开锁状态下,再次输入正确的密码并按下【#】 (确认)键,此时听到两声提示,输入新的六位密码并按【D】 (重设)键,再重复输入一次新密码并按【D】,会听到两

声提示音,表示重设密码成功,内部保存新密码并存储到AT24C02。(如两次输入的新密码不一样,则重设密码失败)。 4、报警并锁定键盘:当输入密码错误后,报警并锁定键盘3 秒,如3秒内又有按键,3秒再启动。 5、当重置新密码时,新密码会保存于AT24C02存储器里。 有任何问题请与我联系: QQ:331091810 E_mail:331091810@https://www.wendangku.net/doc/2e9933760.html, 旺旺ID:j_yongchao2008 淘宝店址:https://www.wendangku.net/doc/2e9933760.html,/

[东北大学]18年6月考试《数据库技术及应用Ⅱ》考核作业

东北大学继续教育学院 数据库技术及应用II 试卷(作业考核线上) B 卷学习中心:院校学号:姓名 (共 7 页) 一、单选题(每小题2分,共10小题,20分) [ ] 1.网状数据库中记录与记录之间的联系是通过 A.指针 B.索引 C.公共属性 D.数据项 [ ] 2.在数据库的三级模式结构中,模式有 A.0个 B.1个 C.2个 D.任意多个 [ ] 3.关系数据库中,实现主码标识元组的作用是通过 A.实体完整性规则 B.参照完整性规则 C.用户自定义的完整性 D.属性的值域 [ ] 4.设计ER图,属于数据库设计中的 A.需求分析 B.逻辑设计 C.概念设计 D.物理设计· [ ] 5. 为了使索引键的值在基本表中唯一,在建立索引的语句中应使用保留字 A. UNIQUE B. COUNT C. DISTINCT D. UNION [ ] 6.在数据库的三级模式结构中,外模式可能有 A.1个 B.2个 C.0个 D.任意多个 [ ] 7. 在某个数据库中建立了表person(no,name,sex,birthday),其中No为表的主码,表中已有的记录如下图所示:

以下四个语句中能够正确执行的插入操作是 A. INSERT INTO person VALUES(6,′王中′,′男′,′1964/03/08′) B. INSERT INTO person (name,sex) VALUES(′王中′,′男′) C. INSERT INTO person VALUES(2,′男′,′王中′,′1964/03/08′) D. INSERT INTO person(no,sex) VALUES(2,′男′) [ ] 8.下面的说法不确切的是 A. 索引用来加速数据的访问速度和保证表的实体完整性的。 B. 索引有聚簇和非聚簇索引两种。 C. 聚簇索引使表的物理顺序与索引顺序一致,一个表只能有一个群聚索引。 D. 非聚簇索引与表的物理顺序无关,一个表应该建立多个非聚簇索引。 [ ] 9. 在并发操作中,加锁不能解决的问题是 A. 数据更新丢失 B. 事务故障 C. 读未提交数据 D. 不一致性检索 [ ] 10. 用来记录对数据库中数据进行的每一次更新操作的是 A. 数据字典 B. 后援副本 C. 日志文件 D. 数据库 二、填空题(每小题1分,共10小题,10分) 11.数据模型通常由数据结构、数据操作和()三部分组成。 12.数据操作描述了系统的()特性。 13. 对数据库的操作主要有数据检索和()两大类。 14.将关系模式R分解为3NF,一定可以满足既具有无损连接性,又保持()。15.行列子集视图是指,从单个()导出,只是去掉了其中的某些行和某些列。16.嵌入式SQL引入了()机制,用来协调两种不同的处理方式. 17.系统函数为三类:标量函数、聚合函数和()函数。 18.在SQL Server的建立索引的原则之一,在经常()的字段上最好建立索引。19.一级封锁协议是指任一事务在修改某数据之前,必须先对其加上()锁,直至事务结束才能释放之。 20.触发器能够对数据库中的相关表实现()更改。 三、简答题(每小题5分,共6小题,30分) 21.数据库的三级模式描述。

电子密码锁课程设计模板

电子密码锁课程设 计

目录 摘要................................................................................ 错误!未定义书签。 1. 设计目的.................................................................... 错误!未定义书签。 2. 设计要求.................................................................... 错误!未定义书签。 3. 方案选择.................................................................... 错误!未定义书签。 3.1 第一方案 555集成电路构成的密码锁电路..... 错误!未定义书签。 3.2 第二方案基于74LS138译码器的电子密码锁设计错误!未定义 书签。 3.3 第三方案基于8D锁存器74LS373的锁存密码电路错误!未定 义书签。 4. 流程框图.................................................................... 错误!未定义书签。 5. 原理电路图 ................................................................ 错误!未定义书签。 6. 单元电路的设计 ........................................................ 错误!未定义书签。 6.1 密码验证模块.................................................... 错误!未定义书签。 6.2 计时模块 ........................................................... 错误!未定义书签。 6.3 锁定输出 ........................................................... 错误!未定义书签。 6.4 逻辑组合模块.................................................... 错误!未定义书签。 7. 组装和调试 ................................................................ 错误!未定义书签。 8. 测试数据.................................................................... 错误!未定义书签。 9. 总结............................................................................ 错误!未定义书签。 10. 致谢.......................................................................... 错误!未定义书签。附录一总电路图 ........................................................... 错误!未定义书签。

电子密码锁单片机课程设计

电子密码锁单片机课程设计

单片机原理与应用技术课程设计报告 电子密码锁设计 专业班级:电气 124 姓名: 时间:2015年1月2日 指导教师:秦国庆 2015年1月2日

电子密码锁课程设计任务书 1.设计目的与要求 设计出一个电子密码锁。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)状态显示功能:锁定状态时系统用3位数码管显示OFF, 用3位码管显示成功开锁次 数;成功开锁时用3位数码管 显示888,用3位数码管显示 成功开锁次数。 (2)密码设定功能:通过一个4×4的矩阵式键盘可以任意设 置用户密码(1-26位长度), 同时系统掉电后能自动记忆 和存储密码在系统中。(3)报警和加锁功能:密码的输入

时间超过12秒或者连续3次 输入失败,声音报警同时锁定 系统,不让再次输入密码。此 时只有使用管理员密码方能 对系统解锁。 2.设计内容 (1)画出电路原理图,正确使用逻辑关系; (2)确定元器件及元件参数; (3)进行电路模拟仿真; (4)SCH文件生成与打印输出; 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。 1

电子密码锁 电气124 赵政权 摘要:电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。本设计利用51单片机编程控制原理,采用矩阵键盘、数码管显示、数据存储器和报警系统构成电子密码锁的设计成品。其中数码管使用两组三位一体共阳数码管,数据存储器使用AT24C04芯片通过IIC协议实现数据的传输,矩阵键盘用执行效率极高的代码扫描控制。使用Keil4.6编写程序代码,用Proteus进行仿真,无误后使用Altium制图,最终做成能使用的成品。 关键词: 51单片机 IIC协议矩阵键盘动态显示 Keil Proteus Altium 2

东北大学课程设计ZDD5B

一、设计任务书 1)设计题目:设计胶带输送机的传动装置2)工作条件: 工作年限工作班 制 工作环 境 载荷性 质 生产批 量 10 2 多灰尘稍有波 动 小批3)技术数据 题号滚筒圆 周力 F(N) 带速 v(m/s) 滚筒直 径 D(mm) 滚筒长 度 L(mm) ZDD-5 1100 320 500 二、电动机的选择计算 1)、选择电动机系列 根据工作要求及工作条件应选用三相异步电动机,封闭式结构,电压380伏,Y系列电动机

2)、滚筒转动所需要的有效功率Fv/1000= 根据表2-11-1确定各部分的效率: V 带传动效率 η1 = 一对滚动球轴承效率 η2 = 闭式齿轮的传动效率 η3 = 弹性联轴器效率 η4 = 滑动轴承传动效率 η5 = 传动滚筒效率 η6 = 则总的传动总效率: η = η1×η2η2 ×η3×η4×η5×η6 = ×××××× = 3)、需要电动机的功率 kw p p w r 91.28326 .042 .2=== η 电机的转速 min 3.13132 .02 .26060n =??== ππD v w (r/min) 现以同步转速为Y100L2-4型(1500r/min )及Y132S2-6型(1000r/min )两种方案比较,传动比 96.103 .131144001=== w n n i

31.73 .13196002=== w n n i ; 由表2-19-1查得电动机数据, 方 案 号 电动机型号 额定功 率(kW) 同步转速 (r/min ) 满载转 速 (r/min ) 总传 动比 1 Y100L2-4 1500 1430 2 Y132S-6 1000 960 比较两种方案,为使传动装置结构紧凑,决定选 用方案2 ,选电动机Y132S —6型 ,额定功率 kw, 同步转速1000r/min,满载转速960r/min 。 同时,由表2-19-1和2-19-2查得电动机堵载转矩/额定转矩为,中心高H=132mm ,外伸轴段直径与长度分别为D=38mm ,E=80mm 。 三、传动装置的运动及动力参数计算 总传动比31.70 == w n n i ;由表2-11-1得,V 带传

门禁电子密码锁说明书

《微机原理及接口技术》 课程设计说明书 课题:门禁电子密码锁 专业:通信工程 班级:**** 姓名:****** 学号: 指导老师:

目录 一、设计任务 (3) 1、设计题目 (3) 2、设计目的 (3) 3、设计任务 (3) 4、设计基本要求 (3) 二、总体方案设计与论证 (4) 1、总体方案设计 (4) 2、按键消抖方案设计与论证 (4) 3、按键检测方案设计与论证 (5) 4、数码管显示方案设计 (5) 三、总框图及总体软件设计说明 (5) 1、系统总框图 (5) 2、硬件模块功能说明 (5) 3、软件设计说明 (6) 四、局部程序设计说明 (7) 1、按键检测程序 (7) 2、拆字程序 (8) 3、显示程序 (10) 4、核对密码程序 (11) 5、中断服务程序 (14) 五、系统资源分配 (15) 六、系统功能与操作说明 (16) 七、调试记录及调试结果 (17) 八、课程设计总结 (17) 附录 (18)

一、设计任务 1、设计题目:门禁电子密码锁 2、设计目的:通过小型微机应用产品的设计与调试过程,运用《微机原理 及接口技术》课程所学的基本知识,在设计中加以应用,进而得到理解、巩固和提高发展,通过实践的过程学习掌握分析与解决实际问题的方法与手段,提高设计、编程与调试的实际动手能力,作为工程技术工作的一次基本训练。 3、设计任务:设计一个以单片机为核心的门禁密码锁。具有密码开锁,不 同权限的密码修改、密码输入安全设置、开门时段管理等功能。编程并在单片机实验板上模拟调试实现。 4、设计基本要求: 1、开机进行接口部件及数码显示器、指示灯、讯响器等自检。 2、密码分为管理员密码和用户密码:管理员密码为8位0~9的数字,而 用户密码为6位0~9的数字。 3、设置“0”~“9”的数字键及“ESC”和“ENT”等功能键。对按键输入 信号须进行软件消抖处理。 4、工作模式: (1)常态:数码管显示时钟。 (2)开锁模式:需输入6位数字为0~9的密码进行开锁。密码数字可以由管理员事先设置保存共普通用户使用。 (3)管理员操作模式:需输入8位0~9的密码,可以进行修改用户密码、修改管理员密码、修改进门时段等功能。 5、常态下,八位LED 7段数码管显示时钟信息可包括小时、分钟、秒钟。 6、只要按下0~9的数字键则转入开锁状态,每按一个数字键使数码管从 左到右逐次显示“—”。 7、如果输入的密码为6位则和用户密码进行匹配,匹配一致则开锁,如 果输入的密码为8位则和管理员密码进行匹配,匹配一致则进入管理员操作模式。 8、在密码输入状态下,输入满6位或8位密码数据后,按“ENT”键才能 进行密码匹配,如果没满6位或8位数字密码,按“ENT”则不作响应。 9、输入的用户密码如果匹配则相应指示灯亮并且电动开门电磁铁线圈通 电1S开门后返回常态;如果输入不正确,则提示“E”,3S后返回常态,等待下一轮的密码输入。

东北大学软件学院数据库系统概论期末复习题

这是数据库期末复习题1 1.用SQL的有关语句定义 1)学生关系Student,包括学号Sno、姓名SN、年龄SA; 2)课程关系Course,包括课程号Cno、课程名CN、任课教师CT; 3)学生选课关系,包括Sno、Cno和成绩G。 注意:说明主键码和外键码(如果有的话),并在键码属性上建立索引。(12分) 1.参考答案 1) CREATE TABLE Student( Sno INT PRIMARY KEY, SN CHAR(30), SA INT ); 2) CREATE TABEL Course( Cno INT PRIMARY KEY, CN CHAR(30), CT CHAR(30) ); 3) CREATE TABEL SC( Sno INT, Cno INT, G INT, PRIMAYR KEY(Sno,Cno), FOREIGN KEY(Sno) REFERENCES Student(Sno), FOREIGN KEY(Cno) REFERENCES Course(Cno) ); 1.按题1的学生关系模式,用SQL的有关语句 1)授予赵华对学生关系Student的插入和修改权限; 2)插入任意3个学生的完整信息; 3)修改所有学生的年龄(加1)。(12分) 2.参考答案 1) GRANT INSERT, UPDATE, ON Student TO 赵华; 2) INSERT INTO Student (TABLE (01001,’赵华’,19),

(01002,’李芳’,18), (01003,’刘红’,20), ); 3)UPDATE Student SET SA=SA+1; 2.结合题1定义的学生选课数据库,用SQL语句查询计算机系学生数据库课的成绩,输出学生姓名和成绩,按成绩排序(降序),若成绩相同,再按 学号排序(升序)。(6分) 3.参考答案 SELECT SN,G FROM Student,Course,SC WHERE = AND = AND =’数据库’ ORDER BY G DESC,Sno; 4.已知关系R、S如下所示,求域演算表达式的结果。 R A B C S D E F a c 5 4 d 8 b d 3 5 a 1 m e 4 3 e 2 (4分) 4参考答案 4. R1 X Y Z a c 5 b d 3 m e 4 4 d 8 5.按嵌入式SQL的格式插入任意一个学生的学号、姓名、系别和年龄。(6分)5.参考答案 1)说明: EXEC SQL BEGIN DECLARE SECTION; int sno; char sname[30]; int sage; char SQLSTATE[6]; EXEC SQL END DECLARE SECTION; 赋值: sno:=01001; sname:=’赵华’; sage:=19; 4)插入: EXEC SQL INSERT INTO Student

电子密码锁_大连理工大学数电课设

大连理工大学本科实验报告 题目:电子密码锁 课程名称: 数字电路课程设计 学院(系): 电子信息及电气工程学部 专业:电气工程及其自动化 班级: 学生姓名: 学号: 完成日期: 成绩:

题目:电子密码锁 1 设计要求 设计一个8位串行数字锁,并验证其操作。具体要求如下:1.开锁代码为8位二进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯LT。否则,系统进入“错误”状态,并发出报警信号。 2.开锁程序由设计者确定,并要求锁内给定的密码是可调的,且预置方便,保密性好。 3.串行数字锁的报警方式是点亮77指示灯LF,并使喇叭鸣叫来报警,报警动作响1分钟,停10秒钟后再重复出现,直到按下复位开关,报警才停止。此时,数字锁自动进入等待下一次开锁的状态。 4.报警器可以兼作门铃用,门铃响的时间通常为7~10秒。 2 设计分析及系统方案设计 系统的结构图如下图所示: 本实验要求串行输入八位密码,密码可以随意设置,可以任意更改, 并且能够存储.而且能够显示出当前已经输入或者设置的位数,待输入八位后通过比较电路与预先设置的密码进行比较.如果输入的密码与存储的密码相同锁体打开如果输入的密码与存储的密码不同则报警系统打开发出警报.由于还要求有门铃功能所以增加一个门铃输入当门铃按下后门铃响十秒钟。

对于密码存储以及密码输入比较部分主要由load 控制。 load为0时系统功能为设置密码,此时只需要顺序串行输入八位0/1密码即可,系统将输入的密码自动保存在存储器内以便于输入的密码进行比较,当load为1时系统功能为输入密码,此时只需要顺序串行输入八位0/1即可,待输入八位后系统自动将刚输入的密码与存储器内的密码进行比较如果密码正确则开锁信号lt为1,否则警报信号lf 和响铃信号alm为1 lt为0 对于门铃部分当检测到press信号的下降沿时门铃开始响,计数器开始计数,此时始终脉冲频率为50MHz/16MHz=3.125Hz ,此时当计数器为30时既时间为30/3.125=9.6秒时门铃自动关闭。 3系统以及模块硬件电路设计 系统电路图 系统电路图如上如所示其中clk1脚接入50MHz的方波,load有两种状态----高电平和低电平状态。k0 k1 press clr 分别接到四个去抖开关上开关按下去为低 电平lt alm2 lf alm分别接到四个发光二级管上,当输出为1时二极管发光。

数字电子技术课程设计电子密码锁

课程设计说明书 课程名称: 数字电子技术课程设计 题目:电子密码锁 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

电子密码锁 一、设计任务与要求 1.用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 2.在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路的代码一致是锁打开。 3.用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁 4.如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号. 二、方案设计与论证 1、用按键输入四位十进制数字,输入密码要存储。 2、比较输入密码和原始密码.当输入正确密码时,给出开锁信号,开锁信号用一个绿色指示灯表示,绿灯亮表示密码输入正确;如果输入密码不正确,用红灯表示。 3、锁的开关用红灯和绿灯表示,一次只能亮一盏。红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁。 4、设置倒计时电路和自锁电路。如果密码在5s内未能输入正确则发出报警声,并且自锁电路。 5、设置密码设置开关,开关闭合后,允许设置密码,设置好密码后,打开此开关。 6、需要在输入密码开始时识别输入,并由此触发计时电路. 方案一用74LS147译码器来把按键输入转化为二进制。通过8片四位寄存器74LS194实现密码功能,其中四片用来存储预置密码,另四片则用来存储输入的密码。当密码开始输入时开始计时,通过74LS192计数器实现计时功能;然后在密码输入期间,用74LS138数据选择器来选片存储。数据选择器的输入端又一个两位的二进制的加法计数器来控制,当键盘有按键输入时计数器就加1,当一个按键按完后会轮到下一个芯片存储。自锁功能利用74LS138来控制.通过四片74LS85芯片判断原始密码和输入密码是否相同,接着用指示灯来表示密码的输入正确与否,如果密码没有输入正确的话,则红灯亮,否则则绿灯亮。若是没在规定时间输入正确密码,则会发出警报信号(蜂鸣器响).

东北大学数据库应用程序设计实践报告

课程编号:B080109004 数据库应用程序设计实践 报告 东北大学软件学院

1.问题定义 银行代收费系统给电力公司开发的一套缴费系统,方便用户通过网银支付电费。 主要的用例图: 图1 银行代收费系统用例图 根据用例图得出主要的业务需求: (1)抄表 系统管理员把抄表记录录入系统,抄表记录包括当前电表数、抄表日期、抄表人等信息,根据抄表记录,系统自动计算每个计费设备当月的应收电费。每个计费设备有唯一编号。 (2)查询 用户随时查询欠费金额。一个用户名下可能多个计费设备,查询欠费时,将所有计费设备欠费总和输出。需要考虑设备的余额问题。如果余额大于欠费,则欠费为0,更新余额,修改receivable中flag标志。 (3)缴费 在当月电费清单生成完毕后,用户可进行电费缴纳,缴纳金额可是任意金额。系统将缴费金额存入设备余额中,再次查询则欠费应该减少。 (4)冲正 用户在缴费过程中如果给其他用户缴费了,在当日0点前可以冲正,即把钱收回,放入余额,向payfee表中添加一个负数金额、相同银行流水号的记录。并且修改设备余额,此时查询欠费应该有改变。 (5)对帐 每个银行每日凌晨给电力公司的代缴费系统发送对账信息,代缴费系统记录对账结果,对账明细,对账异常信息进行存储。错误信息为100银行没有此记录。101企业没有此流水号.102银行企业金额不等。 2.数据库设计

(1)ER图设计: 自己设计的ER图: 经过老师修正统一的ER图: (2)建表语句 -- Create table create table Bank ( id number(4), name varchar2(20), code char(2) )

电子密码锁课程设计

目录 摘要 本次课程设计的题目是电子密码校验设计,由输入密码、设定密码、寄存电路、比较电路、显示电路、修改密码等模块组成。 该电子密码锁利用数字逻辑电路,实现对锁的电子控制,突破了传统的机械锁的单一性、保密性低、易撬性的缺点,数字电子密码锁具有保密性高、使用灵活性好、安全系数高的优点。

主要工作部分是将输入密码与正确密码进行比较,密码正确时绿色发光二极管亮,密码错误则红色发光二极管亮。输入电路将6位密码并行输入,密码是否相等利用与非门将输入的密码和预定密码进行比较,当相等时便触发绿色发光二极管,不相等则作用到红色发光二极管和蜂鸣器。 1.设计目的 本课程为电子、通信类专业的独立实践课,该课程设计建立在电路基础、低频与高频电子线路等课程的基础上,主要让学生加深对电子线路理论知识的掌握,使学生能把所学的知识系统地、高效地贯穿到实践中来,避免理论与实践的脱离,同时提高学生的动手能力,并在实践中不断完善理论基础知识,有助于培养学生综合能力。 2.设计要求 1)要求电子器件设计制作密码锁的控制电路,使之在输入正确的代码时,输出信号以 启动执行机构动作,并且用红、绿LED指示关锁、开锁状态。 2)密码锁控制器中存储一个4位代码,当开锁按钮开关设置9位,其中只有4位有效) 的输入代码等于存储代码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。 3)从第一个按钮触动后的5秒内若未能将锁打开,则电路自动复位并由扬声器发出 20秒的报警信号,同时用绿灯灭、红灯亮表示关锁状态。 4)要求性能可靠、操作简便。 5)密码锁控制器中存储的4位密码可以修改。 3.方案选择 第一方案555集成电路构成的密码锁电路 图3-1555时基集成电路组成的电子密码锁电路

东北大学材料成型课程设计

1.9吨直径30mm7075铝合金挤压棒材 生产工艺设计及成本核算 授课教师 学生 班级 学号

目录 摘要 (1) 1 合金概况及总体工艺流程制定 (2) 1.1 订单信息 (2) 1.2 合金成分及合金概况 (2) 1.2.1 合金的名义成分 (3) 1.2.2 合金的用途 (3) 1.2.3 合金的工艺特点 (3) 1.3 工艺流程制定 (4) 1.4 变形过程中各段定尺计算 (4) 1.4.1变形过程各段已知条件 (4) 1.4.2 定尺计算 (5) 1.5 成品率计算 (5) 1.6 熔铸投料量计算 (6) 2 具体工艺安排及操作步骤 (7) 2.1 熔铸工艺安排及计算 (7) 2.1.1 熔铸工艺的工艺流程 (7) 2.1.2 铸次分配 (7) 2.1.3 合金的成分计算 (8) 2.1.4 配料计算 (8) 2.1.5 熔炼工艺参数 (12) 2.1.6铸造工艺条件 (14) 2.1.7铸造过程中损耗率计算 (14) 2.1.8成品铸锭计算 (14) 2.2 锯切定尺安排 (15) 2.3车削工艺安排 (15) 2.4均火工艺 (15) 2.4.1 均匀化退火 (15)

2.4.2均匀化退火工艺设计 (16) 2.5挤压工艺 (16) 2.5.1挤压比 (16) 2.5.2挤压工艺参数确定 (16) 2.5.3挤压工艺设计 (16) 2.6固溶淬火工艺 (17) 2.7矫直工艺 (17) 2.8锯切 (17) 2.9包装 (17) 3成本核算 (18) 3.1成品率计算 (18) 3.2各工序工时及成本计算 (18) 3.2.1熔铸工时及成本计算 (18) 3.2.2锯切工时及成本计算 (19) 3.2.3车皮工时及成本计算 (19) 3.2.4均匀化退火工时及成本计算 (20) 3.2.5挤压工时及成本计算 (20) 3.2.6拉伸矫直工时及成本计算 (21) 3.2.7淬火工时及成本计算 (21) 3.2.8辊式矫直工时及成本计算 (21) 3.2.9锯切工时及成本计算 (22) 3.2.10包装工时及成本计算 (22) 3.3总成本核算 (22) 参考文献 (24)

东北大学数据库期末试卷样例

东北大学数据库期末试 卷样例 -CAL-FENGHAI.-(YICAI)-Company One1

2)R2 =σB > 2(R) 3)R3 = R S 4)R4 = Aɡsum(B)

4) Create a view BusyFaculty that records the ids and names of faculties who teach more than 3 classes. 5) Find the names of all students that enroll in a class where students meet in room R128 (i.e., Class.room = R128) or a class in which five or more than five students enroll. 6) Find the names of all students who are enrolled in two classes that meet at the same time

E→F}holds on R. 1) Give all candidate keys of this relation, motivate. (3 points) 2) Indicate all extraneous attributes in F, motivate. (3 points) 3) Is this relation in 3NF?If it is not, decompose it into relations in 3NF. (6 points)

电子密码锁课程设计

摘要 本次课程设计的题目是电子密码校验设计,由输入密码、设定密码、寄存电路、比较电路、显示电路、修改密码等模块组成。 该电子密码锁利用数字逻辑电路,实现对锁的电子控制,突破了传统的机械锁的单一性、保密性低、易撬性的缺点,数字电子密码锁具有保密性高、使用灵活性好、安全系数高的优点。

主要工作部分是将输入密码与正确密码进行比较,密码正确时绿色发光二极管亮,密码错误则红色发光二极管亮。输入电路将6 位密码并行输入,密码是否相等利用与非门将输入的密码和预定密码进行比较,当相等时便触发绿色发光二极管,不相等则作用到红色发光二极管和蜂鸣器。 1.设计目的 本课程为电子、通信类专业的独立实践课,该课程设计建立在电路基础、低频与高频电子线路等课程的基础上,主要让学生加深对电子线路理论知识的掌握,使学生能把所学的知识系统地、高效地贯穿到实践中来,避免理论与实践的脱离,同时提高学生的动手能力,并在实践中不断完善理论基础知识,有助于培养学生综合能力。 2.设计要求 1)要求电子器件设计制作密码锁的控制电路,使之在输入正确的代码时,输出信号以启 动执行机构动作,并且用红、绿LED 指示关锁、开锁状态。 2)密码锁控制器中存储一个4 位代码,当开锁按钮开关设置9位,其中只有4位有效) 的输入代码等于存储代码时启动开锁控制电路,并且用绿灯亮、红灯灭表示开锁状态。 3)从第一个按钮触动后的5 秒内若未能将锁打开,则电路自动复位并由扬声器发出 20秒的报警信号,同时用绿灯灭、红灯亮表示关锁状态。 4)要求性能可靠、操作简便。 5)密码锁控制器中存储的4 位密码可以修改。 3.方案选择 第一方案555 集成电路构成的密码锁电路 图3-1555时基集成电路组成的电子密码锁电路

数字逻辑电路课程设计电子密码锁

数字逻辑电路课程设计 课题:电子密码锁设计 姓名: 班级:13通信 学号: 成绩: 指导教师: 开课时间:

目录 摘要 (1) 一课程设计目的内容及安排 (2) 1.1设计目的 (2) 1.2设计内容 (2) 1.3设计安排 (2) 1.4设计内容 (2) 二电子密码锁设计要求及总框图 (3) 2.1设计要求 (3) 2.2总框图 (4) 三各模块电路设计 (5) 3.1密码输入存储比较模块 (5) 3.2五秒计时电路 (6) 3.3二十秒计时电路 (8) 3.4报警电路 (10) 3.5总电路 (11) 四设计心得 (12) 五参考文献 (13)

电子密码锁 摘要:设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。密码输入存储及比较部分使用芯片74LS194及74LS85。五秒及时部分采用芯片74LS161和数码显示管。二十秒报警电路由74LS160,555定时器组成的多谐振荡器,LED灯和蜂鸣器组成。利用multisim对电路进行仿真可以得到结果。 关键词:电子密码锁,计时电路,报警电路

一课程设计目的内容及安排 1.1设计目的 1 根据设计要求,完成对交通信号灯的设计。 2 加强对Multisim10仿真软件的应用。 3 掌握交通信号灯的主要功能与在仿真软件中的实现方法。 4 掌握74LS160,74LS192等功能。 1.2 设计内容 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁; 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 1.3设计安排

基于VHDL的电子密码锁的设计(1)

《E D A仿真与实践实习》 学院:信息科学与工程学院 课题名称:硬件描述语言设计 ——基于VHDL的电子密码锁的设计 班级: 学生: 学号: 指导教师:

1 引言 在日常的生活和工作中, 住宅与部门的安全防范、单位的文件档案、财务报表以及一些个人资料的保存多以加锁的办法来解决。若使用传统的机械式钥匙开锁,人们常需携带多把钥匙, 使用极不方便, 且钥匙丢失后安全性即大打折扣。随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对锁的使用要求,增加其安全性,用密码代替钥匙的密码锁应运而生。 基于EDA技术设计的电子密码锁。以其价格便宜、使用方便、安全性高、成本低、功耗低、易操作等优点,受到了人们的普遍关注。而以可编程逻辑器件(FBDA)为设计载体,以硬件描述语言(VHDL)为主要表达方式,以QuartusⅡ5.1开发软件等为设计工具设计的电子密码锁,由于其能够实现密码输入、密码校验、密码设置和更改等功能,因此,能够满足社会对安全防盗的需求。 本设计的各个模块由相应的VHDL程序具体实现,并在QuartusⅡ5.1环境下进行了整体电路的模拟仿真,最终实现“密码锁控制器设计”的要求。 2 设计内容和要求 2.1 设计内容: 题目:电子密码锁 内容:设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮一个指示灯。否则进入“错误”状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好。 (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又自动等待下一个开锁状态。 要求: (1)通过查阅相关技术资料,详细描述电子密码锁的基本原理。 (2)编写电子密码锁的Verilog HDL或VHDL程序,并仿真编译下载验证。

2021年电子密码锁课程设计

目录 欧阳光明(2021.03.07) 摘要 (2) 1. 设计目的 (2) 2. 设计要求 (3) 3. 方案选择 (3) 3.1 第一方案 555集成电路构成的密码锁电路 (3) 3.2 第二方案基于74LS138译码器的电子密码锁设计 (4) 3.3 第三方案基于8D锁存器74LS373的锁存密码电路 (4) 4. 流程框图 (6) 5. 原理电路图 (6) 6. 单元电路的设计 (6) 6.1 密码验证模块 (6) 6.2 计时模块 (8) 6.3 锁定输出 (8) 6.4 逻辑组合模块 (9) 7. 组装和调试 (10) 8. 测试数据 (11) 9. 总结 (13) 10. 致谢 (15) 附录一总电路图 (15)

附录二元器件清单 (15) 附录三仿真结果 (16) 3.1 密码输入正确 (16) 3.2 密码输入错误 (16) 附录四实物作品 (16) 参考文献 (16) 摘要 本次课程设计的题目是电子密码校验设计,由输入密码、设定密码、寄存电路、比较电路、显示电路、修改密码等模块组成。 该电子密码锁利用数字逻辑电路,实现对锁的电子控制,突破了传统的机械锁的单一性、保密性低、易撬性的缺点,数字电子密码锁具有保密性高、使用灵活性好、安全系数高的优点。 主要工作部分是将输入密码与正确密码进行比较,密码正确时绿色发光二极管亮,密码错误则红色发光二极管亮。输入电路将6位密码并行输入,密码是否相等利用与非门将输入的密码和预定密码进行比较,当相等时便触发绿色发光二极管,不相等则作用到红色发光二极管和蜂鸣器。 1. 设计目的 本课程为电子、通信类专业的独立实践课,该课程设计建立在

单片机电子密码锁课程设计

单片机电子密码锁 课程设计 1

基于单片机的电子密码锁设计 一、设计要求和条件 1.1 设计要求 根据单片机开发板所提供的元件特性和硬件电路, 编写相关的程序, 经过实验开发板实现电子密码锁在LCD1602上显示的功能。 1.搭建proteus仿真电路图平台, 模拟单片机要实现的功能; 2.焊接单片机系统开发板; 3.编写程序, 实现密码锁相关功能; 4.下载并调试程序, 实现密码锁的具体功能。 1.2 设计目的 1.熟练掌握KEIL软件的使用方法; 2.熟练掌握PROTEUS软件的使用方法; 3.掌握单片机I/O接口的工作原理; 4.掌握中断系统的工作原理; 5.掌握液晶LCD1602的工作原理及编程方法; 6.掌握蜂鸣器的编程使用; 2

7.掌握行列式键盘的工作原理及编程使用方法; 8.掌握单片机的ISP下载使用方法。 1.3 功能概述 本设计是基于单片机的密码锁设计方案, 根据要求, 给出了该单片机密码锁的硬件电路和软件程序, 同时给出了硬件设计方案、软件流程图、C语言源程序及详细注释等内容, 由于单片机实验板上的矩阵键盘为3*3的, 则规定0-5号键为数字键, 6-8号键为功能键, 其中该密码锁的具体功能介绍如下: (1)按”8”号键则输入密码, 初始密码为012345, 在LCD1602上显示密码值为”******”( 密码是保密的) , 输完6位后键盘就锁定, 在LCD1602上显示密码是否正确, 若输入的密码长度小于6位, 则1602等待密码输入。 (2)若密码输入正确后, 则绿色的发光二极管亮表示开锁, 而且1602上显示”you are right!”, 等待是否修改密码。 (3)密码输入错误时显示”code is wrong”, 接着会给你第二、第三次机会输入密码, 如果三次密码都错误时, 发出”叮咚”的报警声, 且红色报警指示灯不停闪烁, 按复位键清除报警。 3

相关文档
相关文档 最新文档