文档库 最新最全的文档下载
当前位置:文档库 › 01461592

01461592

Printed Organic Semiconducting Devices MARC CHASON,PAUL W.BRAZIS,JR.,MEMBER,IEEE,JIE ZHANG,

KRISHNA KALYANASUNDARAM,AND DANIEL R.GAMOTA,SENIOR MEMBER,IEEE Invited Paper

Recent improvements in carrier mobility,environmental stability, and advancements in p-and n-type organic semiconductor mate-rials have resulted in reports of device operation suitable for low-functionality,low-cost products.Deposition of solution-processable materials that enable the use of low-cost,high-speed deposition techniques such as screen printing,spin coating,and conventional graphic arts printing technologies have also recently been demon-strated.The material requirements necessary to realize these prod-ucts,an organic transistor device structure that can be fabricated with these materials via printing processes,and the resulting device performance are presented and discussed.The use of standards and their impact on driving technology to commercialization are also addressed.

Keywords—Graphic arts printing,printed electronics,printed electronics standards,printed organic semiconductor,organic tran-sistor,RFID.

I.I NTRODUCTION

Since their proof of concept in1986,organic semicon-ducting devices have progressed in recent years to a point where they are becoming attractive for practical industrial applications.Most notably,increases in carrier mobility, environmental stability,and advancements in p-and n-type organic semiconductor materials have resulted in reports of device operation suitable for many low-functionality, low-cost products.The technology has become even more attractive after the demonstration of solution-processable materials,which enables the use of low-cost deposition techniques such as screen printing,spin coating,and con-ventional graphics arts printing technologies.

In this paper,we brie?y discuss the history of organic semiconducting devices and then proceed to discuss product opportunities,the material requirements necessary to realize these products,an organic transistor device structure that can Manuscript received November1,2004;revised February10,2005. The authors are with the Physical Realization Research Center,Motorola Inc.,Schaumburg,IL60196USA(e-mail:Marc.Chason@Motorola. com;Paul.Brazis@https://www.wendangku.net/doc/2714613491.html,;Jie.Zhang@https://www.wendangku.net/doc/2714613491.html,;KrishnaK@ https://www.wendangku.net/doc/2714613491.html,;Gamota@https://www.wendangku.net/doc/2714613491.html,).

Digital Object Identi?er

10.1109/JPROC.2005.850306

https://www.wendangku.net/doc/2714613491.html,anic semiconductor development maturity trend.

(1)Discovery.(2)Process management.(3)Saturation.

be realized with these materials and the resulting device per-

formance.While we highlight displays and RFID as product

opportunities,we believe there are numerous other product

families that will provide additional growth opportunities for

printed organic semiconductors.We conclude with a discus-

sion of standards and their impact on driving technology to

commercialization.

II.O RGANIC S EMICONDUCTING D EVICE H ISTORY

The recent enhancements of organic semiconductor mate-

rial stability and processability[1]–[3]have resulted in the

fabrication of high-performance organic electronics in lab-

oratory environments.These organic semiconductor mate-

rials have demonstrated improved processing properties as

well as performance when exposed to ambient conditions.In

general,organic semiconductor materials have development

maturity trends that can be divided into three distinct periods

(Fig.1).The?rst period is the“discovery”period,which des-

ignates the introduction of a new class or family of organic

materials.During this stage,mobility is not optimized and is

typically much lower than can be achievable from the mate-

rial.This is then followed by a“process management”pe-

riod,during which methods and procedures are developed

to optimize the organic semiconductor performance.This 0018-9219/$20.00?2005IEEE

yields signi?cantly improved performance.The?nal stage is referred to as“saturation,”beyond which device perfor-mance is only incrementally improved.Generally,“satura-tion”is achieved when the material is fully optimized,and device performance is bounded by intrinsic material elec-trical properties.

As organic semiconducting materials became more stable and available in higher volumes,it was apparent by about the year2000that the technology was transitioning from the academic research environment toward industrial R&D and commercialization.As a result,several government-spon-sored research activities have been established,including the National Institute of Standards and Technology(NIST) Advanced Technology Program(ATP)led by Motorola (4team members),the NIST ATP program led by Sarnoff Corporation(two team members),and the PolyApply Eu-ropean Union6th Framework led by STMicroelectronics (22team members)[4]–[6].Increased precompetitive co-operative development activities were required to develop a strong materials and manufacturing infrastructure essential for potential applications to be realized,such as RFID transponder and display driver circuitry[7]–[11].

The market opportunities for many of these applications depend strongly on minimizing unit cost(direct materials and conversion).To achieve these cost targets,it was critical to transfer the low-cost materials and processes developed within the academic environment to a high-volume man-ufacturing environment.Several proposed high-volume manufacturing platforms based on the integration of com-mercially available lithography,vacuum deposition,and printing technologies to fabricate organic circuits directly on low-cost substrates in reel-to-reel formats have been reported[11].These manufacturing methods plus the use of a continuous,?exible substrate are key ingredients for manufacturing low-cost,simple organic-based products uti-lizing infrastructure with costs in the range of US$1million to$10million.This is very inexpensive when compared to the cost of a new silicon semiconductor fabrication facility, which has steadily risen and now exceeds US$3billion. The high cost is partially due to the“clean-room”environ-ment,costly chemical distribution and disposal facilities, wafer processing and handling equipment,high-resolution lithographies,and wafer testing equipment.More recently, as organic semiconductor materials have demonstrated reli-ability and enhanced performance,engineers have suggested that an organic semiconductor fabrication facility could be built for$40million[11].Unlike silicon fabrication facilities,a printed organic semiconductor facility would use low-cost,high-speed manufacturing platforms in a non-clean-room manufacturing facility.It must be noted, however,that these organic semiconductor devices would not offer the same performance as silicon devices.

A.Opportunities

During the past few years,one of the most often mentioned opportunities for printed organic electronics that has been discussed is their integration and use for the driver

backplane Fig.2.A Gyricon bead containing two colors.The bead is suspended in a cavity?lled with oil.

of?exible displays.Speci?cally,printed organic semicon-ductor materials are strong candidates for novel electrically active display media.At conferences and in publications,en-gineers now commonly discuss designs and manufacturing routes that integrate these two enabling technologies:1)or-ganic semiconductors and2)?exible displays. Electrophoretic Displays:Electrophoretic displays are nonemissive and contain small beads which are typically about

100m in diameter.The color of these displays can be made to switch between two states upon the application of an electric?eld[12].E-ink and Gyricon are two competing microbead display technologies,which are start-ups which were established based on research conducted at the Massa-chusetts Institute of Technology(MIT)and the Xerox Palo Alto Research Center(Xerox PARC),respectively.Upon application of a voltage that exceeds a certain threshold,the image can be modi?ed.The displays typically retain the image without the continuous application of a voltage poten-tial.As a result,electrophoretic displays have signi?cantly lower power consumption(one to two orders of magnitude) than a liquid crystal display(LCD).Furthermore,these dis-plays provide very good video contrast and a wide viewing angle(essentially180),comparable to newspaper or book text.

While both Gyricon and E-ink displays are in principle very similar,their physical construction is different.A Gyricon display utilizes a thin layer of a transparent plastic material in which microbeads are dispersed[12].Each bead is bichromal,containing black color in one hemisphere and white on the other.Further,each bead contains opposite charge in each hemisphere and is free to rotate in a cavity ?lled with oil(Fig.2).When an electric?eld is applied over the sheet,the beads rotate to align their dipole with the?eld.Since the adhesive forces between each bead and the cavity wall require an electrical voltage threshold to be exceeded before the beads will rotate,an image remains stable and unchanged without the application of any voltage that is under the threshold.An image is erased by applying an opposite electric?eld that is larger than the threshold.

In the Gyricon construction,millions of the encapsulated beads are sandwiched between two?exible sheets,each containing electrodes.Such a structure can be operated as a passive-matrix or active-matrix(AM)display.The bottom

Fig.3.Schematic illustration of an embodiment of a microencapsulated electrophoretic bead.

electrode and top electrode form an electrode grid.Gyricon sheets are re ?ective and hence do not need backlighting.Electronic ink or E-ink is an invention from the MIT Media Lab [13].E-ink consists of microcapsules in which pigment particles are encapsulated together with a ?uid.In one embodiment,pigment chips of one color are contained in a liquid dye of a contrasting color.In another embodiment,E-ink consists of positively charged white and negatively charged black particles suspended in a clear ?uid carrier medium.This suspension is encapsulated within a micro-capsule (Fig.3).When a positive electric ?eld is applied,the black microcapsules appear near the top and the white microcapsules are pulled to the bottom.An E-ink display can be made by depositing the microcapsules onto a plastic ?lm,followed by laminating it to a second layer containing the patterned electrodes that controls the microcapsules.Currently,E-ink microcapsules are about

100m in diam-eter and its display resolution is similar to the display from Gyricon (100to 300dpi).An example of e-paper with E-ink is reported by Chen et al.[13].The display had a resolution of 96pixels per inch (ppi)on a stainless steel substrate.The display was driven by amorphous silicon transistors,using a row voltage of 24V and a column voltage of 20V .

Rollable Displays:Polymer Vision is a business initia-tive within the Philips Technology Incubator.The company is aiming to produce rollable displays by combining ultrathin ?exible AM technology based on organic electronics and the ?exible E-Ink electronic ink display technology.

Philips recently reported the development and perfor-mance of solution-processed organic transistors for driving an E-Ink display [14].The backplane consisted of an array of transistors with a bottom-gate device architecture (see Section IV for more information on device architecture).All the electrodes and interconnect lines were formed using vacuum-deposited gold,which was patterned using photolithographic techniques.The gate dielectric and the semiconductor were processed from solution.The semi-conductor was patterned using photolithographic processes.In order to reduce the cost and size of the backplane,the number of interconnect lines were reduced through fabri-cating organic shift register circuits.The backplane consisted of a 32-stage shift register having a total of 1888transistors.An E-ink display was laminated to the backplane circuits.The display was demonstrated at a supply voltage of 35V .

Large-Area Displays:Plastic Logic Ltd.is creating cir-cuitry from polymers and a variety of other materials using solution-processing techniques [15].Recently,they reported integration of its polymer-backplane to the Gyricon display [15].The AM backplane was inkjet printed and drove a 3000-pixel display that was fabricated on glass.The AM backplanes consisted of arrays of organic thin-?lm transis-tors (TFTs)that switched each pixel within the display.B.RFID

Recently,many trade publications have presented the compelling cost savings that are achievable when imple-menting asset tagging networks along the supply chain.One technology that has received heightened visibility as an RFID enabler is printed organic semiconductor materials and manufacturing platforms.Several large companies as well as start-ups have announced their commitment to funding activities in this space [4]–[6].

One of the latest entrants into the all-polymer RFID product space is ORFID Corp.The company was formed in 2003.ORFID Corp.is developing a new class of organic semiconductor materials for use in polymer-based RFID devices,?exible organic displays,and implantable biosen-sors.The company has issued patents in using hybrid ink-jet printing technology to develop ?exible displays and RFID devices on ?exible polymer https://www.wendangku.net/doc/2714613491.html,anic Transistor Materials

Three functional materials are required for organic transistor fabrication:conductor (electrodes),dielectric (gate insulator),and semiconductor (active).The material sets can vary considerably when organic devices and circuits are fabricated using different manufacturing technologies,as usable material sets depend heavily on the process used.Traditional vacuum deposited conductors,gold (Au)and platinum (Pt),and dielectrics,silicon dioxide SiO and silicon nitride

SiN ,are selected when silicon-like wafer processes and infrastructure are used to fabricate organic electronics.Solution processable conductive and dielectric inks are used when spin coating,graphic arts printing,or other similar solution-processing techniques are imple-mented.In addition,the selection of conductor and dielectric materials is also dependent on the compatibility to organic semiconductors.The organic semiconductors are developed and optimized based on electrical performances,processi-bility,and environmental sensitivity.

Organic Semiconductors:Organic semiconductor mate-rials primarily consist of carbon,hydrogen,and oxygen [16].Four classes of organic semiconductors have been used in or-ganic FET (OFET)applications,1)“small ”molecules based on (hetero)aromatic rings;2)conjugated polymers;3)hybrid organic –inorganic structures;and,more recently,4)molec-ular semiconductors,such as nanotube-based semiconduc-tors.Fig.4shows a few examples of organic semiconductor structures.

A unique characteristic of small molecule organic semiconductors is their ability to form high-quality poly-crystalline organic ?lms using vacuum deposition to achieve

Fig.4.Examples of small molecule and conjugated polymer semiconductor chemical structures.

high ?eld-effect device mobilities.Among small molecule organic semiconductor materials,pentacene is the most extensively studied due to its commercial availability and relatively well-understood processing techniques,which result in polycrystalline ?lms with enhanced device per-formance.The reported ?eld-effect mobility of vacuum deposited pentacene-based thin ?lm transistors has been regularly quoted in the range of 0.5–1.5

cm Vs which is comparable to devices

using -Si as the semiconductor ma-terial [10].The reported on/off current ratio of such devices was 10to

10.

The two primary concerns in using pentacene to build organic circuits are its stability to environmental oxygen and insolubility in almost all organic solvents.However,recent studies at IBM and 3M indicate the use of “solu-tion-processable pentacene,”which is deposited by utilizing soluble precursors that are converted after deposition into pentacene upon heating [17].The reported device mobility of transistors built using solution-processed pentacene was an order of magnitude lower than those of devices built using vacuum-deposited pentacene,a behavior that some-what resembles those of polymeric devices.Other small molecule organic semiconductors such as

sexithiophene

and

,-dialkyl-sexithiophene have also been sys-tematically studied [18]The dif ?culty of synthesis and poor environmental sensitivity of these small molecule systems have restricted their potential use in product applications.Polymeric semiconductors exhibit structural stability,tunable electrical properties,and solubility,which are achieved by designing and shaping polymer chain struc-tures.

The -conjugation length and rotational freedom determine the semiconductor polymer functionality and environmental sensitivity,while the alkyl side chains deter-mine polymer solubility.Devices fabricated using solution processable regioregular poly(3-hexylthiophene)(P3HT)resulted in ?eld-effect mobility values on the order of 10to

10

cm Vs,depending on process conditions (for example,in air or oxygen-free environments),dielectric ma-terial,and dielectric/semiconductor interface conditions [1].Another relatively air-stable material poly(9,9’-dioctyl-?u-orene-co-bithiophene),F8T2,resulted in a mobility of 0.01–0.02

cm Vs after special interface preparation and high-temperature annealing [3].The most recent advance in the conjugated regioregular polythiophene family is the development of poly(3,3-dialkyl-quaterthiophene)s [19].Advances include enhanced oxygen resistance,solution processability,and self-assembly.The average recorded

device mobility is 0.07–0.12

cm Vs with a current on/off ratio of

10under ambient conditions.

Dielectrics:The dielectric is one of the most critical,but sometimes underappreciated,materials for organic tran-sistor performance.Dielectric ?lms require the following properties:low trapping density at the surface,low surface roughness,low impurity concentration,and compatibility with organic semiconductors.

Two categories of dielectrics are commonly used in organic transistors:inorganic and organic.Examples of inorganic dielectrics include silicon dioxide SiO and silicon nitride

SiN ,which are also often used for the gate dielectric in traditional silicon integrated circuits and amor-phous silicon display backplanes.The mature manufacturing processes,chemical vapor deposition,and physical vapor deposition,are capable of depositing a pinhole-free gate dielectric layer with a thickness of a few hundred angstroms.The well-known dielectric characteristics of these materials signi ?cantly reduce process variability.However,the non-solution processable nature of these materials has prompted researchers to investigate solution processable organic dielectrics,Poly-vinylephenyle in 2-propenyle (IPA)and polymethylmethacrylate (PMMA)in THF,to name a few [1],[3].Note that the performance of organic devices using solution processable organic dielectrics depend signi ?cantly on the semiconductor material used and quality of dielectric deposition process.Spin coating has been successfully used to deposit thin (a few hundred angstroms)and pin-hole free polymer gate dielectrics.

The interfaces between the dielectric,substrate,and or-ganic semiconductor have a profound effect on device perfor-mance.Pentacene crystalline ?lm formation was shown to be very sensitive to dielectric surface roughness [10].Rubbing and self-assembled monolayers (SAMs),such as octadecyl-trichlorosilane (OTS)on SiO surface,are often used to treat the dielectric layer surface to induce polymer ordering,

i.e.,

-stacking between conjugated polymer molecules to form the path way for charge carrier hopping from molecule to molecule,prior to semiconductor deposition [1],[3].These interface treatments can improve device mobility by as much as an order of magnitude.

Conductors:Evaporated gold and platinum are com-monly used as electrodes for organic transistor fabrication.The minimal process control required can produce ?awless thin metal ?lms for device electrodes.The environmentally stable nature of Au and Pt also ensures low contact resistance at the source –drain and semiconductor interfaces.

Conductive polymers poly(3,4-ethylenedioxythiophene)doped with polystyrene sulfonic acid (PEDOT/PSS)and polyaniline (PANI)have also been used as organic transistor electrodes which are both commercially available [3],[7].The conductive polymer inks can be printed,screen printed,ink jetted,or spun coated.

The interface at the source –drain and organic semicon-ductor requires low contact resistance,which is a function of both parasitic resistance and the resistance from the energy barrier at the electrode/semiconductor interface.Low energy barriers are a result of matching the electrode

work function with semiconductor ionization potential.This low energy barrier is required to promote hole injection at the interface.The studies conducted by Sirringhaus et https://www.wendangku.net/doc/2714613491.html,pared the electrical performance of the organic semiconductor F8T2when using gold and PEDOT/PSS electrodes[3].With comparable work function between Au (5.1eV)and PEDOT/PSS(5.2eV),the Au/F8T2interface exhibited a higher energy barrier for the hole injection,but lower parasitic resistance due to the high conductivity of the Au?lm.The study concluded that PEDOT/PSS provided more ef?cient hole injection into the channel of F8T2-based devices,but higher conductivity was required in order to enhance the?eld effect mobility.

Substrates:Rigid substrates are advantageous for high planarity,optimal surface smoothness,ease of processing, and capability of high temperature annealing of organic semiconductors[3],[19].These?rst two characteristics can be related to the TFT structure:it is preferable that all layers in the transistor are thin,preferably hundreds of angstroms thick.Thus,rigid substrates such as a silicon wafer or glass are excellent choices.In addition,both silicon wafers and glass substrates provide a preferred interface for many or-ganic semiconductors,especially conjugated polymers,and enable surface treatment using SAMs to induce self-align-ment in top-gate semiconductor structures.

Flexible substrates,such as polyimide,polyester,paper, and fabric,are good candidates for device fabrication.The low material cost,opportunity for using high-speed web-based and/or sheet-fed printing processes to lower the cost of manufacturing and the?exible form factor are all desired features.The criteria for selecting the?exible substrate are thermal,chemical and mechanical stability,surface rough-ness,and cost of the?lms.Motorola has demonstrated or-ganic devices using polyester as a substrate with polymer conductors and dielectrics[20].The thermal processing tem-perature for these materials was compatible with the sub-strate(below the glass transisition of the substrate).

Using fabric as a device substrate or wiring electronics into textiles has recently achieved increased visibility.Wearable electronics,such as a jacket with an embedded music player, or a jacket with sensors that monitor critical body health pa-rameters,are beginning to be promoted by companies such as In?neon and Philips[21].

III.O RGANIC T RANSISTOR P ROCESSING

Silicon semiconductor fabrication consists of many com-plex and process parameter sensitive manufacturing tech-nologies i.e.,photolithography,chemical vapor deposition, physical vapor deposition,and electroplating.Many of these processes are performed in Class100or better clean rooms and require high-vacuum environments.The high manufac-turing costs of silicon semiconductors are justi?ed by the market demanding higher operating speeds and performance of silicon IC-driven products.Recent advances of organic materials demonstrating attractive optoelectronic and semi-conducting properties may lead to a revolution in the elec-tronics industry.These materials offer the opportunity

for Fig.5.Manufacturing technologies and capabilities used in

silicon semiconductor and graphic arts printing industries.

low-cost manufacturing of large-area electronically driven products,such as large-area?exible displays for immersive visual communications and RFID for tracking of assets. Examples of relatively low-cost manufacturing tech-nologies include printed wiring board(PWB)fabrication processes used in the microelectronics industry and printing technologies used in the graphics arts printing industry. These manufacturing technologies can achieve lower processing costs by using ambient“non-clean-room”en-vironments that are amenable to high throughput.Fig.5 presents manufacturing technologies used in traditional silicon semiconductor and graphic arts printing industries and the feature sizes of the fabricated structures.

The earliest organic semiconductor studies used a com-bination of vacuum deposition and solution processes to fabricate organic TFTs(OTFT).Vacuum deposition pro-cesses were typically used to deposit the electrode materials (Au and Pt)and the dielectric materials

(SiN,SiO,and

Al

O)while a solution process such as spin coating was used to process the organic semiconductors(polythiophene oligomers).During this time other researchers reported the fabrication of higher performance using all-vacuum processes to fabricate pentacene-based OTFT on Si wafer substrates having gold gate and source/drain electrodes,a SiO dielectric,and pentacene organic semiconductor[10]. More recently the use of graphic arts printing technolo-gies,such as screen printing,inkjetting,and spin coating,has gained greater attention for their ability to leverage the attrac-tive manufacturing attributes of solution-processable organic semiconductors.Bao et al.proposed using screen-printing technologies to deposit electrodes and dielectric,while using spin coating to deposit semiconductor materials[1].More recently,Motorola reported their efforts to use both contact (pad printing)and noncontact(inkjet)printing technologies. They reported the use of pad printing to fabricate silver-?lled epoxy electrodes and polymer thick-?lm dielectric layers and the use of inkjet for deposition of the organic semi-conductor ink for the active layer on a polyester substrate [20].A more sophisticated printing approach for achieving higher resolution,higher performance organic circuits is the use of microcontact printing technology,also called soft lithography,which has been used to fabricate organic devices and circuitry[22].Devices with channel lengths of less than25m were fabricated using micromolding in capillaries(MIMICs).This molding structure was printed

using screen printing.It was pointed out by Rogers et al.[22]that a

1-m feature can be printed using this technique.Note that microcontact printing closely resembles ?exographic printing,and thus a roll-to-roll manufacturing format using microcontact printing can be envisioned for printing ?ner features to enhance organic device performance.

Most highly publicized organic transistor fabrication ef-forts use a combination of traditional silicon semiconductor manufacturing and graphic art printing technologies.As an example,PARC recently reported the patterning of a vapor deposited gold ?lm using ink-jetted wax masks in combi-nation with using vacuum deposition for depositing either SiO or

SiN as the dielectric followed by either evaporating pentacene or using an inkjet to deposit F8T2or PQT from solution for the semiconductor layer [23].Another hybrid fabrication process practiced by Cambridge University and Plastic Logic uses photolithography to pattern polyimide to de ?ne a

sub-5-m channel,inkjetting of PEDOT/PSS to form the source –drain electrodes,spin coating of the semi-conductor (F8T2)and dielectric (PVP)solutions followed by inkjetting of PEDOT/PSS to form the gate electrode [3].Philips reported using spin coating in combination with print/etching to de ?ne the electrodes and gate dielectric [7].The researchers reported spin coating a photopolymer and photode ?ning spin-coated PANI to form the electrodes,using the photopolymer as the gate dielectric and completing the device by spin coating a pentacene precursor ink.

IV .O RGANIC T RANSISTOR D EVICE S TRUCTURE

Organic transistor device operation and design are typi-cally based on the amorphous silicon (a-Si :H)TFT struc-ture which are commonly found in conventional LCD display backplane applications [24],[25].This approach to device design is typically driven by the nature of organic semicon-ductor material,which cannot be readily doped to create n-and p-type regions to form a JFET,bipolar,or other similar structure.Doping by implantation of impurity atoms to create these regions cannot be achieved,since most organic semi-conductors are intrinsically degeneratively doped with large numbers of impurities.The addition of intentional dopants typically results in most organic semiconductors becoming conductive,which results in the destruction of transistor be-havior.Puri ?cation of organic semiconductors has to some extent been demonstrated,but not nearly to the purity of sil-icon wafers.In addition,many of the manufacturing pro-cesses that are most conducive to organic electronics,such as printing,would likely reintroduce high levels of impuri-ties anyway.

Although most organic devices and circuits utilize the TFT structure,many variants are found.Primarily,different orien-tations of the three electrodes (such as top-and bottom-gate structures,and whether the source and drain contacts touch the gate dielectric),and orientation of the entire device (such as whether the semiconductor or the gate is in contact with the substrate)are found.More exotic variations,such as ver-tical orientations,have also been reported [26].A cross

sec-Fig.6.Cross section of a typical printed organic transistor.Shown is a “bottom gate ”device,where the gate electrode is in contact with the substrate.

tion of one of the more common device structures is shown in Fig.6.

V .O RGANIC T RANSISTOR D EVICE P ERFORMANCE

Relative to conventional silicon and other inorganic-based devices,organic transistors historically have and continue to show limited and poorly understood behavior.Much of the research over the last 20years highlights the most generally accepted roadblock to applications:device speed.Research has primarily focused on increasing switching speed through new organic semiconducting materials with increased ?eld-effect mobility.More recently,and probably due to the ?attening trend in mobility improvements,re-search now begins to focus on other attributes to improve device speed.These include geometry reductions,such as reduction of feature size through improved deposition and/or printing techniques (such as the wetting/dewetting technique disclosed by Plastic Logic Ltd.,used to reduce channel lengths formed by inkjet printing),[27]and thinning of the gate dielectric to the nanoscale through self-assembly [28]–[30].These efforts continue to show progress and will continue to enhance organic transistor performance over time.

Although organic transistor performance is currently unsuitable for many target applications (such as all-organic RFID),other applications appear to be well suited for present-day capabilities [12].Even if this is the case,elec-trical circuit design rules will differ signi ?cantly from what has been used in the silicon IC industry over the last 40years.For example,most organic semiconductor-based systems to date lack an n-type system suitable for production.Although there is signi ?cant progress in this area,n-type materials are far behind the performance,stability,and/or attainable production volume of comparable p-type materials [31],[32].Therefore,early organic-based electrical circuit de-signs must focus on exclusively p-type designs,as opposed to the nearly omnipresent CMOS design rule.Even with the “stable ”p-type materials,degradation is still observed upon exposure to humidity and/or oxygen.Therefore,electrical circuit designs and modeling/simulation software need to include quantitative data on the environmental effects on electrical performance.This would allow the electrical cir-cuit designer to predict mean time to failure,and would also

assist in the development of circuitry that is more tolerant to the effects of device aging.

Due to the low carrier mobility and the typically large device geometries,organic transistors will often have oper-ating currents at

1A or less,with leakage currents below 1pA.Such low currents require extreme care in circuit de-sign and characterization,such as the use of extensive elec-trical shielding and attention to instrument input impedances and other characteristics.

Another potentially signi ?cant,but less discussed,issue with organic devices is the typically large positive threshold

voltage observed.For many p-type

devices,

is

typically 5

to 10V,and can be as large

as 40V for some ma-terials and processes.To date,it appears that the generally adopted “solution ”to this issue has been a very large on/off ratio (greater than

10),which masks the presence of a pos-

itive

in circuit operation.However,this approach typi-cally results in undesirably high leakage,since devices are not completely "off"at 0V .This plus the fact that new or-ganic circuit manufacturing processes,such as graphic arts printing,have usually resulted in reduced on/off ratio (cur-rently

10to 10),will force a need for a solution to the issue

of signi ?cantly

positive

.Despite these issues,organic transistor technology is ca-pable of being used for applications where its reduced per-formance and moderate reliability is suf ?cient.At this time,although some electrical design issues exist,it appears that a lack of a cohesive infrastructure is the primary roadblock to commercialization.However,much of the existing infra-structure for the microelectronics and graphic arts print in-dustries can be modi ?ed for large-scale organic transistor and circuit manufacture.Although cost has been cited as a pri-mary advantage of organic electronics,a signi ?cant cost ad-vantage over conventional solutions may not be fully realized until the infrastructure and supply chain matures.The cost advantages will rapidly materialize as materials and equip-ment demand increase,allowing for volume discounts and competition to be leveraged.VI.S TANDARDIZATION

Testing Standards:If rapid implementation of a reliable and competitive supply chain is required for achieving the promises of very low-cost electronics,a key method of aligning potential suppliers and OEMs is through the devel-opment of standards.Standardization,particularly through international organizations such as the IEEE and ISO,has long been instrumental in the formation of pro ?table ventures.For example,the WiFi standards,IEEE 802.11,have allowed for explosive growth in wireless local Internet access worldwide,which would have otherwise stagnated if a patchwork of proprietary standards was allowed to reign.The authors strongly believe in the need for globally accepted standards to be in place to enhance widespread commercialization of organic electronics.In particular,standard methods of characterizing and reporting electrical properties of organic transistors and circuits are necessary for accelerated movement toward commercialization.Such

standard methods allow rapid con ?rmation of reported results between organizations,which allows faster dissem-ination of promising breakthroughs and attempts to limit reporting of erroneous or exaggerated results.During the precompetitive development stage,this allows a stronger collaborative environment,with more rapid sharing of testing parameters.Finally,standardization allows stream-lined assessment of new materials,inks,and other supplies from vendors,quali ?cation of press equipment and other manufacturing equipment,plus provides the foundation for quality assessment during device fabrication.

The ?rst successful standard in organic electronics elec-trical characterization was the IEEE Standard 1620-2004,“Test Methods for the Characterization of Organic Transis-tors and Materials.”[33]This standard provides guidelines on established techniques used by the organic transistor research community for accurate characterization.The stan-dard also sets preferred methods of disclosing data and test conditions,so that the results may be objectively evaluated.This standards effort is continuing with IEEE P1620.1,which will develop a standard for characterizing ring oscil-lator circuits [34].

Manufacturing Standards:The use of low-cost manufac-turing techniques such as those used in graphic arts printing processes promise to enable the manufacturing of cheap ?exible electronic products.Though few standards exist for printing of color inks,none exist at the time of publication for using printing techniques to manufacture electronics.Further,the use of hybrid manufacturing processes such as inline use of inkjet printing and photolithography require reliable communication between disparate pieces of equip-ment.In order to ensure successful product manufacturing,standards are required in data exchange speci ?cations.Also,standards may need to be developed at the component level (e.g.,backplane,displays)for successful creation of contract manufacturing business for ?exible electronics.Such a stan-dard will include recommendations for component features,speci ?cations,tolerances,test methods,shelf life,storage conditions,material handling procedures,and component integration methods.VII.C ONCLUSION

In this paper,we have presented a snapshot of the state of printed organic semiconductor devices.We have shown that if the technology can meet proscribed performance levels,there are a number of signi ?cant commercialization op-portunities that can be realized.Toward these performance levels,materials requirements were discussed as well as novel processes to fabricate these transistor structures.Rel-ative to crystalline semiconducting devices,printed organic semiconducting devices are in their infancy,but we expect these technologies to rapidly mature into a new industry.A CKNOWLEDGMENT

The authors wish to thank Dr.I.Turlik and Motorola for supporting this work.

R EFERENCES

[1]Z.Bao,A.Dodabalapur,and A.Lovinger,“Soluble and processable

regioregular poly(3-hexylthiophene)for thin?lm?eld-effect tran-

sistor applications with high mobility,”Appl.Phys.Lett.,vol.69,no.

26,pp.4108–4110,1996.

[2]Y.Lin,D.Gundlach,S.Nelson,and T.Jackson,“Pentacene-based

organic thin-?lm transistors,”IEEE Trans.Electron Devices,vol.44, no.8,pp.1325–1331,Aug.1997.

[3]H.Sirringhaus,T.Kawase,R.H.Friend,T.Shimoda,M.In-

basekaran,W.Wu,and E.P.Woo,“High-resolution inkjet printing

of all-polymer transistor circuits,”Science,vol.290,pp.2123–2126, 2000.

[4]Project brief:Printed organic ASICs:A disruptive technology.

[Online].Available:https://www.wendangku.net/doc/2714613491.html,/atpcf/prjbriefs/prjbrief.

cfm?ProjectNumber=00-00-4209

[5]Project brief:Printed organic transistors on plastic for electronic

displays and circuits.[Online].Available:https://www.wendangku.net/doc/2714613491.html,/atpcf/

prjbriefs/prjbrief.cfm?ProjectNumber=00-00-4968

[6]PolyApply Web site.[Online].Available:https://www.wendangku.net/doc/2714613491.html,/

[7]G.Gelinck,T.Geuns,and D.de Leeuw,“High performance all-

polymer integrated circuits,”Appl.Phys.Lett.,vol.77,no.10,pp.

1487–1489,2000.

[8]H.Huitema,G.Gelinck,J.van der Putten,K.Kuijk,C.Hart,E.

Cantatore,P.Herwig,A.van Breemen,and D.de Leeuw,“Plastic transistors in active-matrix displays,”Nature,vol.414,p.599,

2001.

[9] B.Crone,A.Dodabalapur,Y.Lin,R.W.Filas,Z.Bao,and https://www.wendangku.net/doc/2714613491.html,-

Duca,“Large-scale complementary integrated circuits based on or-

ganic transistors,”Nature,vol.403,pp.521–523,2000.

[10]T.Jackson,Y.Lin,D.Gundlach,and H.Klauk,“Organic thin-?lm

transistors for organic light-emitting?at-panel display backplanes,”

IEEE J.Sel.Topics Quantum Electron.,vol.4,no.1,pp.100–104, Jan./Feb.1998.

[11]Advanced Technology Workshop,Lake Tahoe,NV,2002.

[12]P.Heremans,S.Verlaak,and T.McLean,“Applications,”in Printed

Organic and Molecular Electronics, D.Gamota,P.Brazis,K.

Kalyanasundaram,and J.Zhang,Eds.Boston,MA:Kluwer,2004,

pp.525–529.

[13]Y.Chen,J.Au,P.Kazlas,A.Ritenour,H.Gates,and J.Goodman,

“Ultra-thin,high-resolution,?exible electronic ink displays ad-

dressed by a-Si active-matrix TFT backplanes on stainless steel

foil,”in Int.Electron Devices Meeting(IEDM’02)Dig.,Dec.8–11, 2002,pp.389–392.

[14]Gelnick et al.,“Flexible active-matrix displays and shift registers

based on solution-processed organic transistors,”Nature Mater.,vol.

3,pp.106–110,2004.

[15]S.Burns,C.Kuhn,J.D.MacKenzie,K.Jacobs,N.Stone,D.

Wilson,P.Devine,K.Chalmers,N.Murton,P.Cain,https://www.wendangku.net/doc/2714613491.html,ls,R.

H.Friend,and H.Sirringhaus,“Active-matrix displays made with

ink-jet-printed polymer TFT,”presented at the https://www.wendangku.net/doc/2714613491.html,rmation

Display Int.Symp.,Exhibition,and Seminar,Baltimore,MD,2003.

[16] A.Facchetti,T.Marks,H.Katz,and J.Veinot,“Organic semi-

conductor materials,”in Printed Organic and Molecular Elec-tronics,D.Gamota,P.Brazis,K.Kalyanasundaram,and J.Zhang,

Eds.Boston,MA:Kluwer,2004,p.83.

[17] A.Afzali,“High performance organic thin-?lm transistors fabri-

cated using a novel soluble pentacene precursor,”presented at the

Int.Microelectronics and Packaging Soc.Advanced Technology Workshop,Lake Tahoe,NV,2002.

[18] F.Garnier,A.Yassar,R.Hajlaoui,G.Horowitz,F.Dellofre,B.

Servet,S.Ries,and P.Alnot,“Molecular engineering of organic

semiconductors:Design of self-assembly properties in conjugated

thiophene oligomers,”J.Amer.Chem.Soc.,vol.115,p.8716,1993.

[19] B.S.Ong,Y.Wu,P.Liu,and S.Gardner,“High-performance

semiconducting polythiophenes for organic thin-?lm transistors,”J.

Amer.Chem.Soc.,vol.126,no.11,pp.3378–3379,2004.

[20]J.Zhang and D.Gamota,“Manufacturing organic electronics using

graphic arts printing technologies,”in Materials Res.Soc.Spring Symp.,2004,Paper U5.7.

[21] D.Marculescu,R.Marculescu,S.Park,and S.Jayaraman,“Ready

to ware,”IEEE Spectr.,vol.40,no.10,pp.28–32,Sep.2003. [22]J.A.Rogers,Z.Bao,and V.R.Raju,“Nonphotolithographic fabri-

cation of organic transistors with micron feature sizes,”Appl.Phys.

Lett.,vol.72,no.21,pp.2716–2718,1998.

[23]K.Paul,W.Wong,S.Ready,and R.Street,“Additive jet printing of

polymer thin-?lm transistors,”Appl.Phys.Lett.,vol.83,no.10,pp.

2070–2072,2003.

[24]S.M.Sze,Physics of Semiconductor Devices.New York:Wiley,

1981,pp.492–493.

[25] D.Gundlach,M.Shur,and T.Jackson,“Device structures,charac-

terization,and modeling,”in Printed Organic and Molecular Elec-

tronics,D.Gamota,P.Brazis,K.Kalyanasundaram,and J.Zhang,

Eds.Boston,MA:Kluwer,2004,p.357.

[26]K.Kudo,M.Iizuka,S.Kuniyoshi,and K.Tanaka,“Device charac-

teristics of lateral and vertical type organic?eld effect transistors,”

Thin Solid Films,vol.393,pp.362–367,2001.

[27]H.Sirringhaus,M.J.Banach,N.J.Stone,D.W.J.Wilson,J.D.

Mackenzie,W.T.S.Huck,and C.W.Sele,“Self aligned printing,”

World Patent WO2003056641A1,Jul.10,2003.

[28] C.Boulas,J.V.Davidovits,F.Rondelez,and D.Vuillaume,“Sup-

pression of charge carrier tunneling through organic self-assembled

monolayers,”Phys.Rev.Lett.,vol.76,pp.4797–4800,1996. [29]J.H.Fendler,“Chemical self-assembly for electronic applications,”

Chem.Mater.,vol.13,pp.3196–3210,2001.

[30]J.Collet and D.Vuillaume,“Nano-?eld effect transistor with an or-

ganic self-assembled monolayer as gate insulator,”Appl.Phys.Lett.,

vol.73,pp.2681–2683,1998.

[31]R.L.Malenfant,C.D.Dimitrakopoulous,J.D.Gelorme,L.L.

Kosbar,T.O.Grahm,A.Curioni,and W.Androeoni,“N-type

organic thin-?lm transistor with high?eld-effect mobility based on

a N,N-dialkyl-3,4,9,10-perylene tetracarboxylic diimide derivative,”

Appl.Phys.Lett.,vol.80,pp.2517–2519,2002.

[32] A.Facchetti,M.Mushrush,H.E.Katz,and T.J.Marks,“n-Type

building blocks for organic electronics:A homologous family of

?uorocarbon-substituted thiophene oligomers with high carrier mo-

bility,”Adv.Mater.,vol.15,no.1,pp.33–38,2003.

[33]IEEE Standard P1620[Online].Available:https://www.wendangku.net/doc/2714613491.html,/

groups/1620/

[34]IEEE Draft Standard for Test Methods for the Characterization

of Organic Transistor Based Ring Oscillators[Online].Available:

https://www.wendangku.net/doc/2714613491.html,/groups/1620/1/

Marc Chason received the B.E.and M.S.degrees in materials science from the State University of New York,Stony Brook,in1973and1974, respectively.

He joined Motorola’s Components Research Laboratory in1983,and then Motorola’s Corporate Manufacturing Research Center in1990.He became the Director of Motorola Energy Systems in1994.In1999,he became Di-rector of the Manufacturing Core Technologies Lab in the Motorola Ad-vanced Technology Center before assuming his present position in2004.He is currently a Fellow of the Technical Staff and Director of the Step-Change Nanotechnology Lab in the Physical Realization Research Center(PRRC), a part of Motorola Labs,Schaumburg,IL.He is also Chairman of the PRRC Patent Committee.He has authored or coauthored over30internal and ex-ternal papers and holds21U.S.patents.

Mr.Chason became a Motorola Dan Noble Fellow,Motorola’s highest technical honor,in1997.He has been a National Institute of Standards and Technology Advanced Technology Program(NIST-ATP)Principal Investigator.

Paul W.Brazis,Jr.(Member,IEEE)received the B.S.,M.S.,and Ph.D. degrees in electrical engineering from Northwestern University,Evanston, IL,in1995,1997,and2000,respectively.

He is currently a Senior Staff Electrical Engineer with Motorola Labs, Schaumburg,IL,in2000.He has a background in electrical and thermal characterization and electronic materials.He joined Motorola in2000and led the effort to design and fabricate the hardware/software architecture for the development of high-density electronic products,particularly non-volatile memory solutions.Currently,he is leading the device and circuit design and characterization efforts for the National Institute of Standards and Technology Advanced Technology Program(NIST ATP)Printed Organic ASICs Program,which focuses on the development of manu-facturable,all-printed circuitry and products.He is a coinventor of four U.S.patents and has authored/coauthored over45reviewed publications and more than50conference/poster presentations,including serving as Co-Organizer of the International Microelectronics And Packaging Society (IMAPS)-sponsored Printing an Intelligent Future workshops.

Dr.Brazis is a Member of the IEEE Standards Association.He was the Vice Chairman for the IEEE Standard1620-2004.He is also Vice Chair of the IEEE Standards Working Groups P1620.1and P1650.

Jie Zhang received the B.S.degree from Chongqin University,China, in1983and the M.S.and Ph.D.degrees from the University of Illinois, Chicago,in1991and1995,respectively.

She joined Motorola Labs,Schaumburg,IL,in1996and is currently a Principal Staff Engineer.She developed and quali?ed novel material sys-tems,and developed and optimized assembly processes for advanced DCA packages for Motorola cellular and semiconductor products.She led the technical efforts to evaluate and characterize several data-driven materials deposition systems and developed advanced materials for fast prototyping and?exible manufacturing technologies.Currently,she is leading the ma-terial characterization,printing technology assessment,printing processing development,and device fabrication efforts for printing electronics at Mo-torola.She has authored/coauthored over30journal and conference papers and one book chapter,and is a coinventor of?ve U.S.patents in printing elec-tronics.She coedited the book Printed Organic and Molecular Electronics (Boston,MA:Kluwer,2004).She co-organized the?rst,second,and third Printing an Intelligent Future advanced technology workshops in2002and 2003,and2004,sponsored by the International Microelectronics And Pack-aging Society(IMAPS).

Krishna Kalyanasundaram received the B.S.degree in mechanical engi-neering and the M.S.degree in physics from the Birla Institute of Tech-nology and Science,Pilani,India,in1995and the M.S.degree in industrial engineering from the State University of New York(SUNY),Binghamton, in1997.

After graduating from SUNY,he joined Motorola’s manufacturing group for cellular products,where he developed six quality processes for several new products and was part of a team that scaled up the operations from new product prototypes to high-volume manufacturing.After joining Mo-torola Labs,Schaumburg,IL,in1999,he led the developmental efforts that created ultrathin,?exible,low-weight memory products that enable multi-functionality in microminiaturized portable products.Further,he facilitated the transfer of this technology to Motorola’s business units for commercial-ization.He is currently a Senior Staff Engineer and is part of the printed electronics team working to create low-cost printed electronics products. He coedited the book Printed Organic and Molecular Electronics(Boston, MA:Kluwer,2004),authored/coauthored several Motorola technical docu-ments/presentations,and has two U.S.patents.

Mr.Kalyanasundaram is a Member of the IEEE Standards Association, the Technical Association of the Graphic Arts(TAGA),and the International Microelectronics And Packaging Society(IMAPS).He is a Motorola certi-?ed green belt.He has coorganized/chaired sessions in the IMAPS-spon-sored Printing an Intelligent Future workshops.Daniel R.Gamota(Senior Member,IEEE)received the B.S.degree in chemical engineering and the Ph.D.degree in materials science and engi-neering from the University of Michigan,Ann Arbor in1987and1992, respectively,and the M.B.A.degree from the Kellogg Graduate School of Management,Northwestern University,Evanston,IL,in2000.

He is a Distinguished Member of the Technical Staff and Manager of the Printed Electronics Solutions Team at the Motorola Physical Realization Re-search Center,Schaumburg,IL.He joined Motorola in1993to assist in the integration of advanced IC packaging(DCA/FCOB,CSP,uBGA)in high-volume SMT manufacturing.Currently,he is the managerial leader of a mul-ticompany program that is developing novel inks and processing methods to print integrated circuits for low cost wireless products.He has been granted 26U.S.patents and has published12papers in peer-reviewed microelec-tronics technology journals.He coedited Printed Organic and Molecular Electronics(Boston,MA:Kluwer,2004),the?rst publication to combine the?elds of semiconductor ink technology,semiconductor manufacturing, high-volume printing,and nanotechnology.

Dr.Gamota was named a Dan Noble Fellow in2003,the highest technical honor attainable at Motorola,for his technical leadership in the?elds of microelectronics packaging and printing electronics.

相关文档