文档库 最新最全的文档下载
当前位置:文档库 › 数字秒表电路设计ok

数字秒表电路设计ok

数字秒表电路设计ok
数字秒表电路设计ok

数字秒表电路设计

电信1205班 钟杰瑛 学号 U201213508

一、设计任务及要求

设计一个数字秒表电路,其功能要求是:

(1) 设计一个用来记录短跑运动员成绩的秒表电路,能以数字的形式显示时间; (2) 秒表的计数范围为0.01~59.99S ,计时精度为10mS ;

(3)通过两个按键来控制计时的起点和终点,一个是清零按键,用于设置秒表为初始状态,另一个则是开始/停止按键,在清零无效时,按一下开始/停止键,则计时器开始计时,再按一下则暂停计时,再按一下则继续计时。

二、系统组成框图

本系统采用层次式设计方法设计,系统由时钟脉冲产生电路,可预置计数器,毫秒计数、秒计数、控制电路、译码及显示电路,及消抖动电路等部分(模块)组成。系统组成框图如

图1所示。

系统工作原理是:由时钟脉冲产生电路产生频率为100Hz 的脉冲信号接入暂停/继续控制电路,由该电路通过按键控制毫秒、秒计数器的暂停计数/继续计数。由控制电路输出的毫秒脉冲输入到毫秒计数器,毫秒计数器(二级毫秒计数器)计数到100毫秒时向秒计数器进位,秒表计数至59.99秒时停止计数。毫秒,秒计数器输出分别经毫秒,秒译码器译码后送至各自的LED 数码显示器。

三、系统电路设计

1.时钟脉冲产生电路

时钟脉冲产生电路选用NE555定时器组成多谐振器,产生100Hz 脉冲给毫秒计数器。电路及其工作波形分别图2(a )、(b )所示。

其工作原理是:

① 接通电源后,电容C 被充电,C V 上升,当C V 上升到CC V 3

2

时,触发器被复位,同时定时器内部的放电三极管D T 导通,此时o V 为低电平,电容C 通过2R 和D T 放电,使C V 下降;

② 当C V 下降到CC V 3

1时,触发器又被置位,o V 翻转为高电平。电容器C 放电所需时间为

时钟脉冲产生电路

暂停/继续控制电路

毫秒计数器

秒计数器

毫秒译码器

秒译码器毫秒显示秒显示清零

预置计数值

图1 数字秒表系统组成框图

C R C R t PL 227.02ln ≈=

③ 当C 放电结束时,D T 截止,CC V 将通过1R ,2R 向电容器C 充电,C V 由CC V 3

1上升到CC V 3

2所需时间为

C R R C R R t PH )(7.02ln )(2121+≈+=

④ 当C V 上升到CC V 3

2时,触发器又发生翻转,如此周而复始,在输出端就得到一个周期性的方波,其频率为

C

R R t t f PH PL o )2(43

.1121+≈+=

由于555内部的比较器灵敏度较高,且采用差分电路形式,它的振荡频率受电源电压和温度变化的影响很小。

图2(a)所示电路各元件参数的选择如下 由

C

R R f o )2(43

.121+=

选用标称值电容1μF C =, 则

1226

1.43 1.43

2110110o R R f C -+=

=??? 314.31014.3K =?Ω=Ω

取1 5.1k ΩR =,则29.2k ΩR =。

考虑到电阻、电容的允许误差通常为±10%,为使振荡器输出为100Hz 脉冲信号,2R 选用k Ω10电位器代替。

2.暂停/继续控制电路设计

图2由555组成多谐振荡器及其工作波形

4

7

62

51

3

0.01uF

R 2R 1

5.1k

0k 1uF

555

8+Vcc

V C

V O

t

t

V C

V CC V CC

t PL

t PH

2

3

13

V O

C (a)(b)电路

工作波形

100

暂停/继续控制电路由四位二进制计数器74LS191、3输入与门74LS11组成,电路如图3所示。图中反相施密特触发器CC40106用于消除按键的抖动信号。74LS191功能表如表1所示。由表1可知,当计数使能控制端CT 0=,置

数控制端LD 1=,加/减计数控制端U/D 0=,当时钟脉冲输入端送入时钟

脉冲时,实现加法计数。74LS191计数时序真值表如表2所示。当74LS191预置数据输入端30D ~D 均接地,接通电源时,其状态输出端30Q ~Q 的初始状态均为0。通过按键2S 及CC40106给74LS191提供时钟脉冲。由于6IC 在时钟脉冲上升沿开始计数,当2S 未按下时,CP 为高电平;当2S 按下时,CP 为低电平;而在2S 松

开后,CP 又为高电平,当计数值未到规定的59.99S 时,74LS30输出端始终是高电平。由图3和表2,当按动奇数次2S 时,6IC 的0Q 1=,74LS11门被打开,计数器开始计数;当按动偶数次2S 时,6IC 的0Q 0=,74LS11门被封锁,计数器停止计数,实现设计任务功能3

的要求。

3.毫秒,秒计数电路及译码、显示电路设计

毫秒,秒计数电路均采用可预置十进制加/减计数器74LS192,各计数器均采用十进制计数操作。计数及译码、显示电路如图4所示。

74LS192功能表如表3所示。图4中,低位毫秒计数器1IC 的U CP 端接NE555产生的100Hz 脉冲信号,D CP 端接高电平,高位毫秒计数器的U CP 端接1IC 的进位输出端CO 。对于秒计数器,低位秒计数器3IC 的U CP 接2IC 的CO 端,高位秒计数器4IC 的U CP 端接3IC 的CO 端,计数器使能控制端按表3进行加计数设置,则可完成各计数器的十进制加计数操作。

图4中,译码器采用BCD-7段译码器CD4511,数码管采用共阴极数码管。由于本系统显示电路是显示计数值,故CD4511试灯端LT ,灭灯端BL ,均接V 5+,选通/锁存端LE 接地,各译码器8421BCD 码输出端分别接十进制计数器各输出端,完成0.01~59.99S 显示。

4.消抖动电路设计 (1)按键抖动产生原因

通常的按键所用开关为机械弹性开关,由于机械式开关的核心部件为弹性金属簧片,因而在开关切换的瞬间会在接触点出现来回弹跳的现象。虽然只是进行了一次按键,结果在按键信号稳定的前后出现了多个脉冲,如图5所示。如果将这样的信号直接送给集成计数器的

LD CP 操作置数CT 0000101011加计数减计数保持

U/D 表1 74LS191功能表6

3

14

5

4

11D 0

Q 1D 1D 2

Q 2D 3Q 3

Q 0

LD

CP 74LS191U/D

CT 2

7

15

1

10

9

RC

13S26

IC 74LS11

345

6

510

+5V

12

CC40106

暂停/继续

至IC5的CPU

自NE555输出端自74LS30输出端

图3 暂停/继续控制电路

00Q 3Q 2Q 0Q 1000011001100000110011000101101CP 0

1234567891011010001011010101112131415

1100110111101

1

1

1

表2 74LS191计数真值表

CP D

CP U

LD

CR

操作11

111

11

00100清零置数加计数减计数保持

表3LS192功能表

74

时钟输入端,将可能把按键稳定前后出现的脉冲信号当作按键信号,这样就会出现人为的一次按键但计数器以为多次按键现象。为了确保按键识别的准确性,在按键信号抖动的情况下不能进入状态输入,为此就必须对按键进行消抖处理,消除抖动时不稳定、随机的电压信号。机械式按键的抖动次数、抖动时间、抖动波形都是随机的。不同类型的按键其最长抖动时间也有差别,抖动时间的长短和按键的机械特性有关,一般为5~10mS 。

(2)按键消抖电路的设计

消抖是为了避免在按键按下或是抬起时电平剧烈抖动带来的影响。按键的消抖,可用硬件或软件两种方法。本系统使用的是硬件消抖的方法。,按键消抖电路如图6所示。当图中按键2S 未按下时,A 点为低电平。,由于A 点与地之间接有一个容量为220PF 的电容,当按下2S 时,电容两端的电压不能跃变,因此A 点电压只能缓慢增加。这就消除了由于键按下瞬间,由于按键弹性金属簧片的弹跳,造成A 点呈现快速的断续电压。当2S 松开时,电容经要之并联的510Ω电阻放电,使A 点电压缓慢下降,再经施密特触发器修整波形,就得到应该比较标准的脉冲波输出。

+5V

NE555

125

63

14

5

4

11

D 0

Q 1

D 1D 2

Q 2D 3Q 3Q 0

LD

CP 74LS191U/D

CT 27

15

1

10

9

63

1254

D 0

Q 1

D 1

D 2

Q 2D 3

Q 3

Q 0

CP U

74LS192279

10

1

CP D

15

63

11

5

4D 0

Q 1D 1

D 2

Q 2D 3

Q 3Q 0

LD

CP U

74LS192

27

9

10

1

CP D

15

634

D 0

Q 1D 1

D 2

Q 2D 3

Q 3

Q 0

CP U 74LS192279

10

1

CP D 15

634

D 0

Q 1D 1

D 2

Q 2D 3

Q 3

Q 0

CP U 74LS192

279

10

1

CP D 15

CR

CR 14

14

CR

14

CR

14

5

RC

13S2

5.1K 10K 1uF

100HZ 0.01S

0.01uF

762

3

+5V

+5V +5V

2

1

345

6

7

91011121314

15CD4511

A 1

A 2

LT BL LE

A 3

A 0

f g a b c d e 2

1

345

6

7

91011121314

15CD4511

A 1

A 2

LT BL LE

A 3A 0

f g a b c d e 2

1

345

6

7

91011121314

15CD4511A 1

A 2

GND

LT BL LE

A 3A 0f g a b c d e 2

1

345

6

7

91011121314

15CD4511A 1

A 2

GND

LT

BL LE

A 3A 0f g a b c d e +5V +5V +5V +5V 7

6

2

4

1

9

10

a b c d e f g

7

6

2

4

1

9

10

a b c d e f g

7

62419

10

a b c d e f g

7

6

2

4

1

9

10

a b c d e f g

38

510Ω

510Ω

510Ω

510Ω

38

38

8

363

11D 0

Q 1D 1

D 2

Q 2D 3

Q 3

Q 0

LD

CP U 74LS192

279

10

1

CP D 15

CR

14

1

5

1

IC 2

IC 3

IC 4IC 42IC Q 40IC Q 33IC Q 30IC Q 23IC Q 20IC Q 13IC Q 10

IC Q 5

IC 6

IC 74LS04

74LS08

74LS11

74LS00

74LS08

2

3

345

6

174LS30

234561112

8

+5V

+5V

510

+5V

510

+5V

510

+5V

S1510

+5V

12

34

56

CC40106

CC40106

CC40106

CC40106

9854

1

(6)(3)(7)(3)(7)(7)(3)(3)84复位

暂停/继续

加计数

减计数

S3S4220PF

220PF

220PF

220PF

4

5

612

CO

CO CO R1R2图4 数字秒表电路

前沿

抖动

后沿抖动

键稳定

图5 按键抖动波形图

键按下

S2510

+5V 12CC40106

A 220

R

C 图6 按键消抖电路

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

电子秒表课程设计

课程设计 题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目:电子秒表的设计与制作 初始条件: (1)计数精度可达1/100秒 (2)可显示时间99.99秒 (3)具有开关可启动,暂停,清零功能 选作:设计可改变计时时间(最大59.99秒)的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~1月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

目录 摘要 (4) 电子秒表的设计与制造 (5) 1 课题分析 (5) 2系统设计方案的选择 (5) 3 电子秒表系统主体流程框图 (6) 4 单元电路的设计 (7) 4.1脉冲产生电路 (7) 4.2 计数电路 (8) .3 译码显示电路 (9) 4.4 控制电路 (10) 5 仿真测试 (10) 6 电子秒表设计原理图 (11) 7 结束语 (11) 参考文献 (12) 附录 (13) 附录一:选作:设计可改变计时时间的电路 (13) 附录二:74LS290功能表 (15) 附录三:74LS48功能表 (15)

摘要 电子秒表是一种数字显示计时装置,由于它走时准,设计简单,显示直观,因此被广泛运用于科学研究,体育运动,国防等方面。比如对物体速度,加速度的测量,体育比赛的时间的测量等。 数字电子秒表由组合逻辑电路和时序逻辑电路组成,555定时器组成多谐振荡器产生脉冲,在脉冲控制下的组合计数器电路通过一系列的触发产生数字信号,数字信号经译码器译码后输入到显示数码管显示时间。 电子秒表的广泛应用提高了人们的工作效率,随着电子技术的发展,电子秒表的精度,电路简易型等到了很大的提高,功能得到了完善。 关键词:秒表定时器效率

数字电子秒表课程设计报告

重庆机电职业技术学院课程设计说明书 设计名称:单片机原理设计 题目:数字电子秒表 学生姓名: X X 专业:电气自动化 班级: 1 班 学号: XXXXXXXXXXXXXXX 指导教师: X X X 日期: 2010 年 6 月 16 日

重庆机电职业技术学院 课程设计任务书 电气自动化专业2008 年级 1 班XX 一、设计题目 数字电子秒表设计 二、主要内容 利用独立式按键AN1(P0.0)启动定时器T0计时,AN2(P0.1)停止用于停止定 时器T0计时,使用2个八段数码管输出记时值,秒钟的计时时间范围在0~99秒内。 三、具体要求 3.1、实验电路连线 ①本实验中要把跳线JP1(板子右上角,LED灯正上方)跳到DIG上,J23(在黄色继电器右上方)接到右端;把跳线J9(紧贴51插座右方,蜂鸣器下方,RST复位键上方)跳到右端;把跳线J6跳到AN端,AN1(P0.0)~ AN4(P0.4),(J6在51插座右下方,4×4键盘左上方)。 3.2、实验说明 ①本实验中要将记时结果送2个数码管中显示,这可通过调用编写的显示子程序来实现,实现过程是:先将记时值一位一位的拆开,分别送到显示缓冲区(片内数据存储30H~35H设定为显示缓冲区用于存放段码数据, 其中32H~35H里面均存放0的段码0DFH)中去,然后调用显示子程序。②与定时器有关的寄存器有工作方式寄存器TMOD和控制寄存器TCON。TMOD用于设置定时器/计数器的工作方式0-3,并确定用于定时还是用于计数。TCON主要功能是为定时器在溢出时设定标志位,并控制定时器的运行或停止等。本实验中用定时器T0产生1秒钟基本时间单位,本系统fosc=11.0592MHz,当定时器T0工作在方式1(16位)时,最大定时时间为:216* 0.9216μs= 60397.9776μs;再利用软件记数,当T0中断17次时,所用时间为60397.9776*17=1026765.6192μs≈1s因此在T0中断处理程序中,要判断中断次数是否到17次,若不到17次,则只使中断次数加1,然后返回,若到了17次,则使电 子秒表记时值加1(十进制),请参考硬件实验四有关内容。③使用独立式按键 AN1(P0.0)~ AN2(P0.1)时要注意采用软件消抖动的方法,一般采用软件延时(10ms)的方法,即通过P0.0和P0.1的输入值的变化控制秒表的启动和停止。 3.3

数字式秒表课程设计

南通大学 《电子技术》课程设计报告 题目数字式秒表 学院(部计算机科学与技术学院 专业计算机科学与技术 学生姓名王骏 6 月2 7 日至7 月1 日共1 周 指导教师(签字)

一.内容摘要 本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。该数字计数 系统的逻辑结构较简单,是由控制电路,复位电路,0.01秒脉冲发生器,译码显示 电路构成的。 其中控制电路是由基本R-S触发器以及电阻,开关组成的电路部分。 复位电路是由直流电源,电阻以及开关组成的电路部分。 多谐振荡器是由555定时器以及其外围电路组成的电路部分,它和分频器一起用来 产生0.01秒的脉冲。 二.技术要求 1.秒表最大计时值为99分59.99秒; 2. 6位数码管显示,分辨率为0.01秒; 3 .具有清零,启动计时,暂停及继续计数等控制功能; 4.控制操作间不超过二个。 三.方案论证与选择 1. 数字式秒表,就需要显示数字。根据设计要求,要用数码管来做显示器。题目要 求最大记数值为99分59.99秒,则需要一个8段数码管作为秒位(有小数点)和五个7段数码管作为分秒位。要求计数分辨率为0.0 1秒,那么我们需要相应频率的信号发生器。 选择信号发生器时,有两种方案:一种是用晶体振荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。石英晶振荡器精度很高,一般都需要多级分频。 秒表核心部分——计数器,此次选择74LS160计数器。它具有同步置数和异步清零功能。主要是利用它可以十分频的功能。 计数脉冲是由555定时器构成的多谐振荡器,产生100赫兹脉冲。如果精度要求高,也可采用石英振荡器。 在选择译码器的时候,有多种选择,如74LS47,74LS48等4-7线译码器。如果选择7447,则用来驱动共阳极数码管;如果选择7448,则用来驱动共阴极数码管。在选择数码显示管时,可以利用六个数码管;也可以借鉴简易数字频率计中的四位数码 管来显示后四位,再用两个数码管显示分钟的两位。本次设计中选择前一种方法。(一)控制电路

数字秒表的设计与实现实验报告课件

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

数电课设 数字秒表的设计仿真

##大学 ##学院 数字电子技术课程设计 课程名称:数字电子技术基础 题目名称:数字电子秒表设计 学生系别:信息工程系 专业班级: 学号: 学生姓名: 指导教师: ..年..月..日

目录 一、设计要求 (3) 二、题目分析 (3) 三、总体方案 (3) 四、具体实现 (4) 1、总体方框图 (4) 2、原理图 (4) 如下图所示: (4) 五、各部分定性说明及定量计算 (5) 1、脉冲发生器(由555构成的多谐振荡器) (5) 2、计数器(74LS90) (7) 3、七段发光二极管(LED)数码管 (8) 4、BCD码七段译码驱动器——CC4511 (9) 六、实验仿真 (11) 七、元器件清单 (11) 八、设计心得体会 (12) 九、参考文献 (12)

数字秒表的设计与仿真 一、设计要求 设计并仿真一个数显电子秒表,要求: (1)能直接显示“分”、“秒”的电子秒表; (2)要求最大能显示9ˊ59〞; (3)能通过按键启动计时,并能通过按键停止计时,并保留显示计时时间; (4)能通过按键复位。 主要器件: 74LS00、555、74LS90、CC4511 二、题目分析 数字秒表是是一种常用的秒计时装置,它能实现手控记秒、停摆、清零功能,它的设计原理就是利用数字逻辑中的知识。 通过对该数字秒表的设计要求的分析,设计的此数字秒表主要由分频器、译码器、十进制计数器、六十进制计数器、控制电路组成。在整体秒表中最关键的如何获得一个精确的100HZ计时脉冲。除此之外,数字秒表还需要有清零控制端以及启动控制端,保持,以便数字时钟能随意、停止及启动。分频器用来产生100HZ计时脉冲;十进制计数器:对分进行计数;六十进制计数器是用来对秒进行计时,显示译码器是完成对7段数码管显示的控制。 按计数要求,须用数码管来做显示器,题目要求最大能显示9ˊ59〞,需要三个数码管,超过最大显示的数字要重新从0开始计数。 复位开关用来使计时器清零,并做好清零准备,复位开关可以在任意情况下使用,即使在计数过程中,只要按一下复位开关,计时进程终止,并对计时器清零。 三、总体方案 数字秒表,必须有一个数字显示。按设计要求,须用数码管来做显示器,题目要求最大能显示9ˊ59〞,则需要三个数码管。计数分辨率为1s,需要相应的信号发生器,选择信号发生器有两种方案: Ⅰ用晶体振荡器; Ⅱ用集成电路555计时器与电阻电容组成的多谐振荡器。 两者都可以产生振荡频率,我们选用方案Ⅱ,因为其核心部分是使用三个74LS90计数器采用串联方式构成,并且这种连接方式简单,使用元器件数量少。

数字秒表课程设计报告

《电子技术》课程设计 题目:数字秒表设计 专业:电气工程系 班级:本电气自动化126 姓名:黎梓浩学号: 11 指导老师:钟立华 小组成员:曾志辉麦照文黎梓浩成绩:

目录 摘要,关键词,引言3一.设计目的3二.设计总体框图4三.设计原理及说明4四.单元电路设计5五.器件选择9六.设计电路图9七.安装与调试9八.设计心得与体会10 九.参考文献11

十.附录(实物图、原理图)12摘要:本文的数字秒表设计是利用数字电路,实现时、分、秒计时功能的装置。具有较长的使用寿命,因此得到了广泛的应用。 关键词:计时精确计数器显示器 74LS160 引言:在科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活中已逐渐崭露头角,大多数电子产品多是有计算机电路组成,如:手机、mp3等。而且将来的不久他们的身影将会频繁的出现在我们身边。各种家用电器多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。秒表在很多领域充当一个重要角色。在各种比赛中对秒表的精确度要求很高,尤其是一些科学实验,他们对时间精确度达到了几纳秒级别。 一.设计目的 1.了解数字秒表的主体电路的组成及工作原理; 2.熟悉集成电路及有关电子原件的使用; 3.学习和掌握数字电路中的时钟发生器及计数、译码显示等单元电

路的综合应用; 4.掌握电子电路一般设计方法和设计流程; 5.掌握protel等绘图软件的使用。 二.设计总体框图 三.设计原理及说明 数字秒表具有操作方便、使用简单、计数精准等使用优点,在日常生活中的到了广泛认可和使用。 数字秒表的设计属于中规模集成芯片设计。本设计中CP脉冲采

数字式电子秒表设计

1设计目的 电子技术课程设计是电子信息工程系三个专业的公共课程设计,是模拟电子 技术、数字电子技术课程结束后进行的教学环节。其目的是: 1、培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。 2、学习较复杂的电子系统设计的一般方法,提高基于模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调 试。 3、进行基本技能训练,如基本仪器仪表的使用,常用元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 4、培养学生的创新能力。 2设计要求 1.秒表由2位七段LED显示器显示,其中1位显示“ s” ,二位显示“ 0.1s ”,显示分 辩率为0.1 s; 2.计时最大值为9.9s; 3.计时误差不得超过1s; 具有清零、启动计时、暂停计时及继续计时等控制功能; 4.主要单元电路和元器件参数计算、选择; 5.画出总体电路图; 6.安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完毕后,应对照电 路图仔细检查,看是否有错接、漏接、虚焊的现象。 7.调试电路 8.电路性能指标测试 9.提交格式上符合要求,内容完整的设计报告

3总体设计 3.1工作流程图 图1工作流程图 图1中1单元为用集成与非门74LS00构成的基本RS触发器。属低电平直接触发的触发器,有直接置位,复位的功能。 图1中2单元为集成与非门74LS00构成的单稳态触发器,它的职能是为计数器提供清零信号。 图1中555定时器构成了多谐振荡器,是一种性能较好的时钟源。 图1中4单元74LS160构成的计数器/分频器 图1中5单元译码显示单元 3.2原理图: 图1为电子秒表的原理图,按功能可分为5个单元电路进行分析。 其中单元1为基本RS触发器; 单元2为单稳态触发器; 单元3为时钟发生器; 单元4为计数器和分频器; 单元5为译码显示单元。

数字电子秒表设计总结报告1

数字电子秒表设计总结报告 一. 工作原理 本数字电子秒表设计由启动、清零复位电路、多谐振荡电路、分频计数电路、译码显示电路等组成。如下图所示: 启动清零复位电路主要由U6A 、U6B 、U7B 、U7D 组成,其本质是一个RS 触发器和单稳态触发器。J1控制数字秒表的启动和停止,J2控制数字秒表的清零复位。开始时把J1合上,J2打开,运行本电路,数字秒表正在计数。 当打开J1,合上J2键,J2与地相接得到低电平加到U6B 的输入端,U6B 输出高电平又加到U6A 的输入端,而U6A 的另一端通过电阻R15与电源相接得到高电平,(此时U6B 与U6A 组成RS 触发器),U6A 输出低电加到U7A 的输入端,U7A 被封锁输出高电平加到U5的时钟端,因U5不具备时钟脉冲条件,U5不能输出脉冲信号,因此U3、U4时钟端无脉冲而停止计数。当J1合上时,打开J2键,J1与地相接得到低电平加到U6A 的输入端,U6A 输出高电平加到 U6B

的输入端,U6B输出低电平加至U7B,使U7B输出高电平,因电容两端电压不能跃变,因此在R7上得到高电平加到U7D输入端,U7D输出低电平(进入暂态)同时加到U3、U4、U5的清零端,使得U3、U4的Q D---Q A输出0000,经U1、U2译码输出驱动U9、U10显示“00”。因为U7B与U7D组成一个单稳态电路,经过较短的时间,U7D的输出由低电平变为高电平,允许U3、U4、U5计数。同时U6A输出高电平加到U7A的输入端,将U7A打开,让555的3脚输出100KHZ的振荡信号经U7A加到U5的时钟脉冲端,使得U5具备时钟脉冲条件,U5的9、10、7脚接高电平,U5构成十分频器,对时钟脉冲计数。当U5接收一个脉冲时,U5内部计数加1,如果U5接收到第十个脉冲时,U5的15脚(RCO端)输出由低电平跳变为高电平作为U4的时钟脉冲,从而实现了对振荡信号的十分频,产生周期为0.1S的脉冲加至U4的时钟端。U4的9、10、7脚接高电平,当U4接收到来自U5的脉冲时,U4的Q D---Q A输出0001加到U2的DCBA端,经U2译码输出1001111经电阻R8~R14驱动数码管U10显示,此时数码管显示“1”,当U4计数到1001时,U4的15脚输出高电平接到U7C,经反相后得到低电平,加到U3的时钟脉冲端,U3A不具备时钟脉冲条件,当U4再接收一个脉冲时,U4的输出由1001翻转为0000,此时U4的15脚输出低电平通过U7C反相输出高电平,从而得到一上升沿脉冲加至U3的时钟端,使得U3的Q D---Q A输出0001加到U1的DCBA输入端,经U1译码输出100111,经电阻R1~R7驱动数码管U9,数码管显示“1”。如此循环的计数,最后数码管U9、U10显示最大值99即9.9秒。 由集成块555、电阻R19、R18、电容C1、C2组成多谐振荡器,当接通电源,电源通过电阻R19与R18对电容C2进充电,当UC2上升到2/3VCC时,集成块555的3脚输出低电平,内部三极管导通,C2通电阻R19进行放电,当UC2下降到1/3VCC时,内部三极管截止,集成块555的3脚输出高电平,接着电源又通过电阻R19与R18对电容C2进充电,当UC2上升到2/3VCC时,集成块555的3脚输出低电平,如此循环的充、放电,555的3脚输出100HZ的矩形方波信号加到U7A的输入端。

数字电子技术课程设计(数字秒表)

课程设计报告书 题目:数字秒表 系别:电子与信息工程分院 专业:电子信息与工程 作者:学号: 指导老师: 20 年月

目录 一、设计任务 (2) 二、设计框原理及整机概述 (2) 三、各单元电路的设计方案及原理说明 (2) 四、各单元电路的集成电路及使用说明 (3) 五、设计、安装及调试中的体会 (6) 六、对本次课程设计的意见及建议 (7) 附录 (8) 1

数字秒表 一、设计任务书 1.两个按钮,用1、2表示,在数字秒表计数时,开始,暂停和清零做用。 2.数码管显示计时时间。 3.微调电位器对秒表进行调试。 二、设计框图及整机概述 本电路是RS触发器,单稳态触发器,时针发生器及计时器,译码显示单元电路共同组合的应用。U1A,U1B构成基本RS触发器,其作用是启动和停止秒表工作,按动K2,U1A-3为高电平,U1B-6为低电平,计数停止。按动K1,计数清零并开始计数。 三、各单元电路的设计方案及原理说明 1、基本RS触发器 单元I为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。它的一路输出Q作为单稳太触发器的输入,另一跟路输出Q作为与非门5的输入控制信号。按动按钮开关K2(接地),则门1输 出=1;门2输出Q=0,K2复位后Q 、状态保持不变。再按动按钮开关K1; 则Q由0变为1,门5 开启,为计数器启动作为准备。由1变0,启动单稳态 触发器工作。基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。2、单稳态触发器 图单元II为用集成与非门构成的微分型单稳态触发器,图17-2为各点波形图。 单稳态触发器的输入触发脉冲信号V1由基本RS 触发器端提供,输出负 脉冲V0通过非门加到计数器的清除端R。静态时,门4应处于截止状态,故电阻R必须小于门的关门电阻ROFF。定时元件RC取值不同,输出脉冲宽度也不同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分电路的RP和CP。 单稳态触发器在电子秒表中的职能是为计数器提供清零信号。 3、时钟发生器 图中单元III为用555定时器构成的多谐振荡器,是一种性能较好的时钟源。 调节电位器RW,使在输出端3获得频率为100HZ的矩形波信号,当基本RS 触发器Q=1时,门5开启,此时50HZ脉冲信号通过门5作为计数脉冲加于计数器①的计数输入端CP2。 4、计数及译码显示 十进制加法计数器74LS160构成电子秒表的计数单元,如图中单元IV所示。 2

电子秒表课程设计..

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

基于单片机的秒表课程设计

摘要 本设计的数字电子秒表系统采用AT89S52单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及外部中断电路来设计计时器。将软、硬件有机地结合起来,使得系统能够实现LED显示,显示时间为0~99秒,计时精度为1秒,能正确地进行计时。其中软件系统采用C语言编写程序,包括显示程序,定时中断服务,外部中断服务程序,延时程序等,并在WAVE中调试运行,硬件系统利用PROTEUS强大的功能来实现,简单且易于观察,在仿真中就可以观察到实际的工作状态。 关键词:电子秒表;AT89S52单片机;C语言

目录 摘要.............................................................. I 1 系统原理介绍. (1) 1.1设计任务及功能要求说明 (1) 1.2数字式秒表的方案介绍及工作原理说明 (1) 2数字式秒表硬件系统的设计 (3) 2.1数字式秒表硬件系统各模块功能简要介绍 (3) 2.1.1 AT89S52简介 (3) 2.1.2时钟电路 (3) 2.1.3键盘电路 (4) 2.1.4复位电路 (4) 2.2 数字式秒表的硬件系统设计图 (5) 3 数字式秒表软件系统的设计 (6) 3.1 数字式秒表使用单片机资源情况 (6) 3.2 主程序流程图 (6) 3.3中断服务程序流程图 (7) 3.4显示程序流程图 (8) 3.5软件系统程序清单 (8) 按照流程图应用软件keil汇编语言编程实现秒表功能。程序见附录3。. 8 4 系统调试与仿真 (9) 4.1 数字式秒表的设计结论及使用说明 (9) 4.2 调试软件介绍 (9) 4.3 程序仿真与结果 (9) 4.4 误差分析及解决方法 (10) 总结 (11) 参考文献 (12) 致谢 (13) 附录1:系统原理图 (14) 附录2:程序清单 (15)

数字式秒表课程设计

《数电设计》课程设计报告 题目数字式秒表 学院(部)理学院 专业电子信息科学与技术 学生姓名孟涛涛 学号2 前言 如今,信息正是一个高度发展的产业,而数字技术是信息的基础,数字技术是目前发展最快的技术领域之一,数字技术在数字集成电路集成度越来越高的情况下,开发数字系统的使用方法和用来实现这些方法的工具已经发生了变化,但大规模集成电路中的基本模块结构仍然需要基本单元电源电路的有关概念,因此用基本逻辑电路来组成大规模或中规模地方法仍然需要我们掌握。 二进制数及二进制代码是数字系统中信息的主要表示形式,与,或,非三种基本逻辑运算是逻辑代数的基础,相应的逻辑门成为数字

电路中最基本的元件。数字电路的输入,输出信号为离散数字信号,电路中电子元器件工作在开关状态。除此之外,由与,或,非门构成的组合逻辑功能器件编码器,译码器,数字分配器,数字选择器,加法器,比较器以及触发器是常用的器件。 与模拟技术相比,数字技术具有很多优点,这也是数字技术取代模拟技术被广泛使用的原因。 此次课设更是加深了我们对数字技术的理解和认识。 目录 一.前言 二.内容摘要 三.关键字 四.技术要求 五.方案论证与选择 1.方案论证 2.总框图 (一)控制电路 (二)0.01秒脉冲发生器 (三)复位电路 (四)译码显示电路 1.计数器74LS160 2.译码器7447 3.七段数码管(LED)

六.电路图及电路工作原理 元件清单 七.课设存在的问题及解决 八.心得体会 九.参考文献 二.内容摘要 本设计所实现的数字式秒表是电子设计技术中最基本的设计 实验之一。该数字计数系统的逻辑结构较简单,是由控制电 路,复位电路,0.01秒脉冲发生器,译码显示电路构成的。 其中控制电路是由基本R-S触发器以及电阻,开关组成的电 路部分。 复位电路是由直流电源,电阻以及开关组成的电路部分。 多谐振荡器是由555定时器以及其外围电路组成的电路部分, 它和分频器一起用来产生0.01秒的脉冲。 三. 关键字 计数器,译码器,显示器,555定时器构成的多谐振荡器,基 本R-S触发器 四.技术要求 1.秒表最大计时值为99分59.99秒; 2. 6位数码管显示,分辨率为0.01秒; 3 .具有清零,启动计时,暂停及继续计数等控制功能; 4.控制操作间不超过二个。

数字电子技术课程设计电子秒表精选版

数字电子技术课程设计 电子秒表 Document serial number【KKGB-LBS98YT-BS8CB-BSUT-BST108】

数字电子技术课程设计报告题目:电子秒表的设计 专业: 班级: 姓名: 指导教师: 课程设计任务书学生班级:学生姓名:学号: 设计名称:电子秒表的设计 起止日期: 指导教师:周珍艮

目录 绪论- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 4 第一章、设计要求

设计任务及目的- - - - - - - - - - - - - - - - - - - - - - -- - - - - - - - -5 系统总体框图- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 、设计方案分析- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -6 第二章、电路工作原理及相关调试 电路工作原理- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 7 相关调试- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- 11 第三章、实验总结 附录A 电子秒表原理图 附录B 相关波形 元件清单 参考文献 绪论 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,掌握必要的电工电子知识已经成为当代大学生特别是理工类大学生必备的素质之一。 电子秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、二一五一十进制异步加法记数器、数据选择器和显示

电子秒表课程设计报告

西安郵電學院 控制系统课程设计报告书 系部名称:信息与控制系 学生姓名:XXX 专业名称:测控技术与仪器 班级:测控XXXX 2010年9月13日至 时间: 2010 年9月26日

电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、 设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H -33H 中。其中31H 存放分钟变量,32H 存放秒钟变量,33H 存放10ms 计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD 码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD 码数据的对应段码存放在ROM 表中。显示时,先取出31H -33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过1INT 中断完成,定时溢出中断周期为1ms ,当一处中断后向CPU

数字式秒表课程设计报告

2012 ~2013学年第 2 学期 《数字电子技术》 课程设计报告 题目:数字式秒表 专业:通信工程 班级:11级通信二班 姓名:涛、、文凯、芳琪 王然、程洋洋、王国文、灿指导教师:王银花 电气工程学院 2013年6月04日

1、任务书

摘要 关键词译码显示电路;R-S触发器;555定时器分频器 在科技高度发展的今天,数字秒表在日常生活中是比较常见的电子产品,以其走时精确,使用方便,功用多而受广大用户所喜。 本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。该数字计数系统的逻辑结构较简单,是由控制电路,复位电路,0.01秒脉冲发生器,译码显示电路构成的。其中控制电路是由基本R-S触发器以及电阻,开关组成的电路部分;复位电路是由机械开关,电阻,以及电源组成的电路部分;多谐振荡器是由555定时器以及其外围电路组成的电路分,它和分频器一起用来产生0.01秒的脉冲;译码显示电路由7448集成元件构成的电路部分;七段数码管电路由共阴极七段LED显示器,电阻和接地端组成的电路部分。 通过对各部分结构的了解,本实验从而设计出最大是为59.99秒的数字式秒表。通过对实验了解到计数秒表的设计存在一些问题,但是这也充分说明了数字秒表还存在很大的提升空间,对计数精度可以进一步提高。在设计实验中为了保证实验过程少走弯路,学会仿真是必要的,对本实验我们采用multism软件仿真,以便提高实验的正确性与可行性。 在平时的理论学习中遇到的问题都一一解决,加深了我对专业的了解,培养了我对学习的兴趣,为以后的学习打下了好的开端,我受益匪浅。同时,让我明白:电子设计容不得纸上谈兵,只有自己动手实际操作才会有深刻理解,才会有收获。

毕业课程设计报告数字秒表的设计

(此文档为word格式,下载后您可任意编辑修改!) 目录 1 引言 (1) 1.1 课程设计的目的 (1) 1.2 课程设计的内容 (1) 2 EDA、VHDL简介 (1) 2.1 EDA技术 (1) 2.2 硬件描述语言——VHDL (2) 3设计过程 (4) 3.1 设计规划 (4) 3.2 各模块的原理及其程序 (4) 3.2.1控制模块 (5) 3.2.2时基分频模块 (5) 3.2.3计时模块 (6) 3.2.4显示模块 (7) 4系统仿真 (9) 结束语 (13) 致谢 (14) 参考文献 (15) 附录 (16)

1 引言 在科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活已逐渐崭露头角。大多数电子产品多是由计算机电路组成,如:手机、mp3等。而且将来的不久他们的身影将会更频繁的出现在我们身边。各种家用电器多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。秒表在很多领域充当一个重要的角色。在各种比赛中对秒表的精确度要求很高,尤其是一些科学实验。他们对时间精确度达到了几纳秒级别。 1.1 课程设计的目的 本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。在掌握所学的计算机组成与结构课程理论知识时。通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。通过课程设计深入理解计算机结构与控制实现的技术,达到课程设计的目标。 1.2 课程设计的内容 利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。该数字秒表能对0秒~59分59.99秒范围进行计时,显示最长时间是59分59秒。计时精度达到10ms。设计了复位开关和启停开关。复位开关可以在任何情况下使用,使用以后计时器清零,并做好下一次计时的准备。 2 EDA、VHDL简介 2.1 EDA技术 EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬件描述语言HDL完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目

相关文档