文档库 最新最全的文档下载
当前位置:文档库 › 基于Verilog的FPGA步进电机控制

基于Verilog的FPGA步进电机控制

基于Verilog的FPGA步进电机控制
基于Verilog的FPGA步进电机控制

基于FPGA步进电机控制:

电机为四相步进电机,单四拍工作.

Speed为电机运行状态输入;

Direct 为电机转动方向输入;

Out 为电机控制信号输出;

程序控制电机加速减速,采用计数原理,不同计数值控制电机旋转速度,再利用一个另外计数值控制特定速度运行时间,时间到则转到下一速度,设定有最大速度值,加速到最大速度自动进入匀速过程.

module motor1(speed,rst,out,direct,clk,);

input [1:0] speed;//运行状态输入

parameter ups=2'b01;//加速

parameter dns=2'b10;//减速

parameter stop=2'b11;//停止

parameter aver=4'b1000;//最大速度

input direct,rst,clk;//电机运行方向,复位,时钟输入

output [3:0] out;//控制电机信号输出

reg [3:0] out;

reg [3:0]count1;//加速速度转换计数

reg [3:0]count2;//减速速度转换计数

reg [3:0]countup;//加速速度数字代表

reg [3:0]countdn;//减速速度数字代表

reg [6:0]count;//特定速度运行时间计数

parameter countmax=7'b1111111; //特定速度运行时间到标志

always@(posedge clk or negedge rst)

if(!rst)

begin

countup<=4'b1111;

count1<=4'b1111;

count2<=4'b1000;

countdn<=4'b1000;

count<=7'b0;

end

else if((speed==ups)||(speed==dns))

begin

count<=count+1;

if(speed==ups)

begin

if(count1==0)count1<=countup;

if(count1!=0) count1<=count1-1;

if((countup>=4'b1001)&&(count==countmax))

begin

countup<=countup-1;

count1<=countup-1;

count=7'b0;

end

if((countup==aver)&&(count==countmax))

begin

count1<=aver;

countdn<=aver;

count<=7'b0;

end

end

else if(speed==dns)

begin

count1=4'b1111;

if(count2==0) count2<=countdn;

if(count2!=0) count2<=count2-1;

if((countdn<=4'b1110)&&(count==countmax))

begin

countdn<=countdn+1;

count2<=countdn+1;

count<=7'b0;

end

if((countdn==4'b1111)&&(count==countmax))

begin

count2<=4'b1111;

count<=7'b0;

end

end

end

else if(speed==stop)

begin

countup<=4'b1111;

countdn<=aver;

count1<=4'b1111;

count<=7'b0;

end

always@(count1 or count2 or rst)

if(!rst) out<=4'b1001;

else if((count1==0)||(count2==0))

begin

if(speed==stop)

out<=out;

else if((speed==ups)||(speed==dns))

begin

if(direct==1)

out<={out[2:0],out[3]};

else if(direct==0)

out<={out[0],out[3:1]};

end

end

endmodule

步进电机控制实验

步进电机控制实验 一、实验目的: 了解步进电机工作原理,掌握用单片机的步进电机控制系统的硬件设计方法,熟悉步进电机驱动程序的设计与调试,提高单片机应用系统设计和调试水平。 二、实验容: 编写并调试出一个实验程序按下图所示控制步进电机旋转: 三、工作原理: 步进电机是工业过程控制及仪表中常用的控制元件之一,例如在机械装置中可以用丝杠把角度变为直线位移,也可以用步进电机带螺旋电位器,调节电压或电流,从而实现对执行机构的控制。步进电机可以直接接收数字信号,不必进行数模转换,用起来非常方便。步进电机还具有快速启停、精确步进和定位等特点,因而在数控机床、绘图仪、打印机以及光学仪器中得到广泛的应用。 步进电机实际上是一个数字/角度转换器,三相步进电机的结构原理如图所示。从图中可以看出,电机的定子上有六个等分磁极,A、A′、B、B′、C、C ′,相邻的两个磁极之间夹角为60o,相对的两个磁极组成一相(A-A′,B-B′,C-C′),当某一绕组有电流通过时,该绕组相应的两个磁极形成N极和S极,每个磁极上各有五个均匀分布矩形小齿,电机的转子上有40个矩形小齿均匀地分布的圆周上,相邻两个齿之间夹角为9°。 当某一相绕组通电时,对应的磁极就产生磁场,并与转子形成磁路,如果这时定子的小齿和转子的小齿没有对齐,则在磁场的作用下,转子将转动一定的角度,使转子和定子的齿相互对齐。由此可见,错齿是促使步进电机旋转的原因。 三相步进电机结构示意图 例如在三相三拍控制方式中,若A相通电,B、C相都不通电,在磁场作用下使转子齿和A相的定子齿对齐,我们以此作为初始状态。设与A相磁极中心线对齐的转子的齿为0

基于FPGA的Verilog HDL数字钟设计 -

基于FPGA的Verilog HDL数字钟设计 专业班级姓名学号 一、实验目的 1.掌握可编程逻辑器件的应用开发技术——设计输入、编译、仿真和器件编程; 2.熟悉一种EDA软件使用; 3.掌握Verilog设计方法; 4.掌握分模块分层次的设计方法; 5.用Verilog完成一个多功能数字钟设计; 6.学会FPGA的仿真。 二、实验要求 ?功能要求: 利用实验板设计实现一个能显示时分秒的多功能电子钟,基本功能: 1)准确计时,以数字形式显示时、分、秒,可通过按键选择当前显示时间范围模式; 2)计时时间范围00:00:00-23:59:59 3)可实现校正时间功能; 4)可通过实现时钟复位功能:00:00:00 扩展功能: 5)定时报:时间自定(不要求改变),闹1分钟(1kHz)---利用板上LED或外接电路实现。 6)仿广播电台正点报时:XX:59:[51,53,55,57(500Hz);59(1kHz)] ---用板上LED或外接 7)报整点时数:XX:00:[00.5-XX.5](1kHz),自动、手动---用板上LED或外接 8)手动输入校时; 9)手动输入定时闹钟; 10)万年历; 11)其他扩展功能; ?设计步骤与要求: 1)计算并说明采用Basys2实验板时钟50MHz实现系统功能的基本原理。 2)在Xilinx ISE13.1 软件中,利用层次化方法,设计实现模一百计数及显示的电路系 统,设计模块间的连接调用关系,编写并输入所设计的源程序文件。 3)对源程序进行编译及仿真分析(注意合理设置,以便能够在验证逻辑的基础上尽快 得出仿真结果)。 4)输入管脚约束文件,对设计项目进行编译与逻辑综合,生成下载所需.bit文件。 5)在Basys2实验板上下载所生成的.bit文件,观察验证所设计的电路功能。

步进电机控制系统

目录 一、设计任务: (2) 二、步进电机概述: (2) 三、题目分析与整体构思: (4) 四、硬件电路设计: (7) 五、硬件验证: (10) 六、程序设计: (10) 七、系统仿真: (15) 八、感应子式步进电机工作原理: (17) 九、心得体会: (24) 参考文献: (25)

一、系统设计要求 步进电机作为一种电脉冲—角位移的转换元件,由于具有价格低廉、易于控、制、无积累误差和计算机接口方面等优点,在机械、仪表、工业控制等领域中获得了广泛的应用。本设计的具体要求是: 1. 设计制作一个步进电机控制电路,可以细分驱动和常规驱动。 2. 常规驱动状态转速四档可调并可实现正反转。 二、步进电机概述 步进电机是一种将电脉冲转化为角位移的执行机构。当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度(称为“步距角”),它的旋转是以固定的角度一步一步运行的。可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速的目的。步进电机可以作为一种控制用的特种电机,利用其没有积累误差(精度为100%)的特点,广泛应用于各种开环控制。 现在比较常用的步进电机包括反应式步进电机(VR)、永磁式步进电机(PM)、混合式步进电机(HB)和单相式步进电机等。 永磁式步进电机一般为两相,转矩和体积较小,步进角一般为7.5度或15度。 反应式步进电机一般为三相,可实现大转矩输出,步进角一般为 1.5度,但噪声和振动都很大。反应式步进电机的转子磁路由软磁材料制成,定子上有多相励磁绕组,利用磁导的变化产生转矩。 混合式步进电机是指混合了永磁式和反应式的优点。它又分为两相和五相:两相步进角一般为 1.8度而五相步进角一般为 0.72度。这种步进电机的应用最为广泛,也是本次细分驱动方案所选用的步进电机。 (一)步进电机的一些基本参数: 1.电机固有步距角: 电机固有步距角表示控制系统每发一个步进脉冲信号,电机所转动的角度。电机出厂时给出了一个步距角的值,如86BYG250A型电机给出的值为0.9°/1.8°(表示半步工作时为0.9°,整步工作时为1.8°),这个步距角可以称之为“电机固有步距角”,它不一定是电机实际工作时的真正步距角,真正的步距角和驱动器有关。 2.步进电机的相数: 步进电机的相数是指电机内部的线圈组数,目前常用的有二相、三相、四相、五相步进电机。电机相数不同,它们的步距角也不同,一般二相电机的步距角为0.9°/1.8°、三相的为0.75°/1.5°、五相的为0.36°

步进电机实验报告

. .. . 步进电机调速实验报告 班级:xx :xx 学号:xxx 指导老师:xx

步进电机调速实验报告 一、实验目的及要求: 1、熟悉步进电机的工作原理 2、熟悉51系列单片机的工作原理及调试方法 3、设计基于51系列单片机控制的步进电机调速原理图(要现电机的速度反馈测量,测量方式:数字测量) 4、实现51系列单片机对步进电机的速度控制(步进电机由实验中心提供,具体型号42BYG )由按钮控制步进电机的启动与停止;实现加速、匀速、和减速控制。速度设定由键盘设定,步进电机的反馈速度由LED数码管显示。 二、实验原理: 1.一般电动机都是连续旋转,而步进电动却是一步一步转动的,故叫步进电动机。步进电机是数字控制电机,它将脉冲信号转变成角位移,即给一个脉冲信号,步进电机就转动一个角度,因此非常适合于单片机控制。步进电动机的转子为多极分布,定子上嵌有多相星形连接的控制绕组,由专门电源输入电脉冲信号,每输入一个脉冲信号,步进电动机的转子就前进一步。由于输入的是脉冲信号,输出

的角位移是断续的,所以又称为脉冲电动机。随着数字控制系统的发展,步进电动机的应用将逐渐扩大。 进电动机需配置一个专用的电源供 电,电源的作用是让电动机的控制绕组 按照特定的顺序通电,即受输入的电脉 冲控制而动作,这个专用电源称为驱动 电源。步进电动机及其驱动电源是一个互相联系的整体,步进电动机的运行性能是由电动机和驱动电源两者配合所形成的综合效果。 2.对驱动电源的基本要求 (1)驱动电源的相数、通电方式和电压、电流都要满足步进电动机的需要;(2)要满足步进电动机的起动频率和运行频率的要求; (3)能最大限度地抑制步进电动机的振荡; (4)工作可靠,抗干扰能力强; (5)成本低、效率高、安装和维护方便。 3.驱动电源的组成 步进电动机的驱动电源基本上由脉冲发生器、脉冲分配器和脉冲放大器(也称功率放大器)三部分组成, 三、实验源程序: /*************** writer:shopping.w ******************/ #include #define uint unsigned int #define uchar unsigned char uchar code FFW[]= {

步进电动机控制方法

<<技能大赛自动线的安装与调试>>项目二等奖 心得二 心得二:步进电机的控制方法 我带队参加《2008年全国职业院校技能大赛自动线的安装与调试》项目,我院选手和其他院校的三位选手组成了天津代表队,我院选手所在队获得了《2008年全国职业院校技能大赛自动线的安装与调试》项目二等奖,为天津市代表队争得了荣誉,也为我院争得了荣誉。以下是我这个作为教练参加大赛的心得二:步进电机的控制方法 《2008年全国职业院校技能大赛自动线的安装与调试》项目的主要内容包括如气动控制技术、机械技术(机械传动、机械连接等)、传感器应用技术、PLC控制和组网、步进电机位置控制和变频器技术等。但其中最为重要的就是PLC方面的知识,而PLC中最重要就是组网和步进电机的位置控制。 一、 S7-200 PLC 的脉冲输出功能 1、概述 S7-200 有两个置PTO/PWM 发生器,用以建立高速脉冲串(PTO)或脉宽调节(PWM)信号波形。 当组态一个输出为PTO 操作时,生成一个50%占空比脉冲串用于步进电机或伺服电 机的速度和位置的开环控制。置PTO 功能提供了脉冲串输出,脉冲周期和数量可由用户控制。但应用程序必须通过PLC内置I/O 提供方向和限位控制。 为了简化用户应用程序中位控功能的使用,STEP7--Micro/WIN 提供的位控向导可以帮助您在几分钟内全部完成PWM,PTO 或位控模块的组态。向导可以生成位置指令,用户可以用这些指令在其应用程序中为速度和位置提供动态控制。 2、开环位控用于步进电机或伺服电机的基本信息 借助位控向导组态PTO 输出时,需要用户提供一些基本信息,逐项介绍如下: ⑴最大速度(MAX_SPEED)和启动/停止速度(SS_SPEED) 图1是这2 个概念的示意图。 MAX_SPEED 是允许的操作速度的最大值,它应在电机力矩能力的范围。驱动负载所需的力矩由摩擦力、惯性以及加速/减速时间决定。

三相步进电机原理与控制方法资料(精)

本模块由45BC340C型步进电机及其驱动电路组成。 (一步进电机: 一般电动机都是连续旋转,而步进电动却是一步一步转动的,故叫步进电动机。每输入一个脉冲信号,该电动机就转过一定的角度(有的步进电动机可以直接输出线位移,称为直线电动机。因此步进电动机是一种把脉冲变为角度位移(或直线位移的执行元件。 步进电动机的转子为多极分布,定子上嵌有多相星形连接的控制绕组,由专门电源输入电脉冲信号,每输入一个脉冲信号,步进电动机的转子就前进一步。由于输入的是脉冲信号,输出的角位移是断续的,所以又称为脉冲电动机。 随着数字控制系统的发展,步进电动机的应用将逐渐扩大。 步进电动机的种类很多,按结构可分为反应式和激励式两种;按相数分则可分为单相、两相和多相三种。 图1 反应式步进电动机的结构示意图 图1是反应式步进电动机结构示意图,它的定子具有均匀分布的六个磁极,磁极上绕有绕组。两个相对的磁极组成一组,联法如图所示。

模块中用到的45BC340型步进电机为三相反应式步进电机,下面介绍它单三拍、六拍及双三拍通电方式的基本原理。 1、单三拍通电方式的基本原理 设A相首先通电(B、C两相不通电,产生A-A′轴线方向的磁通,并通过转子形成闭合回路。这时A、A′极就成为电磁铁的N、S极。在磁场的作用下,转子总是力图转到磁阻最小的位置,也就是要转到转子的齿对齐A、A′极的位置(图2a;接着B相通电(A、C 两相不通电,转了便顺时针方向转过30°,它的齿和C、C′极对齐(图2c。不难理解,当脉冲信号一个一个发来时,如果按A→C→B→A→…的顺序通电,则电机转子便逆时针方向转动。这种通电方式称为单三拍方式。 图2 单三拍通电方式时转子的位置 2、六拍通电方式的基本原理 设A相首先通电,转子齿与定子A、A′对齐(图3a。然后在A相继续通电的情况下接通B相。这时定子B、B′极对转子齿2、4产生磁拉力,使转子顺时针方向转动,但是A、A′极继续拉住齿1、3,因此,转子转到两个磁拉力平衡为止。这时转子的位置如图3b所示,即转子从图(a位置顺时针转过了15°。接着A相断电,B相继续通电。这时转子齿2、4和定子B、B′极对齐(图c,转子从图(b的位置又转过了15°。

(完整版)Verilog实现的基于FPGA的五层楼电梯运行控制逻辑毕业设计论文

五层楼电梯运行控制逻辑设计 摘要:电梯是高层建筑不可缺少的运输工具,用于垂直运送乘客和货物,传统的电梯控制系统主要采用继电器,接触器进行控制,其缺点是触点多,故障率高、可靠性差、维修工作量大等,本设计根据电梯自动控制的要求利用Verilog语言编写并完成系统设计,在利用软件仿真之后,下载到了FPGA上进行硬件仿真。FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了之前的可编程器件门电路数有限及速度上的缺点。 关键词:电梯控制FPGA Verilog软件设计硬件设计 在当今社会,随着城市建设的不断发展,高层建筑的不断增多,电梯作为高层建筑中垂直运行的交通工具已与人们的日常生活密不可分。目前电梯控制系统主要有三种控制方式:继电路控制系统(早期安装的电梯多位继电器控制系统),FPGACPLD [1] 的控制系统、微机控制系统。继电器控制系统由于故障率高、可靠性差、控制方式不灵活以及消耗功率大等缺点,目前已逐渐被淘汰,而微机控制系统虽在智能控制方面有较强的功能,但也存在抗扰性差,系统设计复杂,一般维修人员难以掌握其维修技术等缺陷。而FPGACPLD控制系统由于运行可靠性高,使用维修方便,抗干扰性强,设计和调试周期较短等优点 [2] ,倍受人们重视等优点,已经成为目前在电梯控制系统中使用最多的控制方式,目前也广泛用于传

统继电器控制系统的技术改造。 随着现代化城市的高度发展,每天都有大量人流及物流需要输送,因此在实际工程应用中电梯的性能指标相当重要,主要体现在:可靠性,安全性,便捷快速性。电梯的可靠性非常重要,直接或间接的影响着人们的生产,生活,而电梯的故障主要表现在电力拖动控制系统中,因此要提高可靠性也要从电力拖动控制系统入手。 本次设计尝试用Verilog实现电梯控制器部分,进行了多层次的电梯控制,也进行了软件及硬件上的仿真验证,时序分析以保证设计的正确。在设计中先用软件进行模拟仿真,然后又下载到FPGA开发板上进行硬件仿真,以确保设计的正确性。 1电梯的设计分析 1.1 系统的需求分析及系统描述 设计一个电梯运行控器,该电梯有5层楼,设计的电梯调度算法满足提高服务质量、降低运行成本的原则;电梯的内部有一个控制面板,它负责按下请求到的楼层,并且显示当前尚未完成的目的地请求,当到达该楼层以后自动撤销本楼层的请求,即将面板灯熄灭;除1层和5层分别只有上和下按钮外,其余每个楼层(电梯门口旁)的召唤面板都有两个按钮,分别指示上楼和下楼请求。当按下后,对应按钮灯亮。如果电梯已经到达该楼层,按钮灯熄灭;电梯的外部面板会显示电梯当前所在的楼层,及上行还是下行(暂停显示刚才运行时的状态);电梯向一个方向运行时,只对本方向前方的请求进行应答,直到本方向前方无请求时,才对反方向的请求进行应答。当前内部控制面板上有的请求,只要经过所在楼层均会立即响应.

步进电机控制系统设计

文理学院芙蓉学院课程设计报告 课程名称:专业综合课程设计 专业班级:自动化1001班学号:40 学生:志航 指导教师:建英 完成时间: 2013年 6月13 日 报告成绩: 芙蓉学院教学工作部制

摘要 本文先介绍了混合式步进电机的结构和工作原理,分析了细分驱动对于改善步进电机运行性能的作用,论述了正弦波细分驱动可以实现等步距角、等力矩均匀细分驱动的原理,提出了一种基于H桥和其他分立元件分配脉冲的驱动技术,该方案可实现步进电机的单拍、半拍、双拍三种工作方式。本文采用控制电路主要由AT89C51单片机、晶振电路、地址锁存器、译码器、液晶显示电路组成,单片机是控制系统的核心。文中对整个系统的架构及硬件电路和驱动软件的实现都做了详细的介绍。 关键词:单片机;正弦脉宽调制;混合式步进电机;细分驱动

Abstract In this paper, the working principle and configuration of three-phase hybrid Stepper are introduced, then based on technologies such as stepper motor controller, PWM inverter and microcontroller. In the thesis, we develop a single chip computer -based digital controlling system for a three-phase hybrid stepper motor that is mainly constructed from a AT89C51 single chip computer and ST7920IC which is used as the core of control parts. The system's whole architecture, the design of hardware and software are introduced in detail. KEY WORDS: Microcontroller,SPWM,Hybrid stepper motor,Micro-stepping driver

步进电机实验报告剖析

北华航天工业学院 课程设计报告(论文) 课程名称:微机控制技术课程设计 设计课题:步进电机的控制系统 专业班级: 学生姓名: 指导教师: 设计时间:2013年06月11日

北华航天工业学院电子工程系 微机控制技术课程设计任务书 姓名:专业:班级: 指导教师:职称:教授时间:2013.6.11 课程设计题目:步进电机的控制系统 设计步进电机单片机控制系统,其功能如下: 1.具有对步进电机的启停、正反转、加减速控制; 2.控制按钮分别为正转、反转、加速、减速、以及停止键; 3.能够通过三位LED数码管(或液晶显示器)显示当前的转动速度,并且由两只不同颜色的发光二极管分别指示正转和反转,因此可以清楚的显示当前转动方向和转速; 4.要求每组选择的步进电机控制字不同; 5.用单片机做控制微机; 应用软件:keil protues 成果验收形式: 1.课程设计的仿真结果 2.课程设计的报告书 参考文献: 【1】张家生. 电机原理与拖动基础【M】. 北京:北京邮电大学出版社,2006. 【2】马淑华,王凤文,张美金. 单片机原理与接口技术【M】.北京:北京邮电大学出版社,2007. 【3】顾德英,张健,马淑华.计算机控制技术【M】. 北京:北京邮电大学出版社,2006. 【4】张靖武,周灵彬. 单片机系统的PROTEUS设计与仿真【M】. 北京:电子工业出版社,2007 第16周 时间 安排 指导教师教研室主任: 2013年06 月11日

内容摘要 步进电机是一种进行精确步进运动的机电执行元件,它广泛应用于工业机械的数字控制,为使系统的可靠性、通用性、可维护性以及性价比最优,根据控制系统功能要求及步进电机应用环境,确定了设计系统硬件和软件的功能划分,从而实现了基于8051单片机的四相步进电机的开环控制系统。控制系统通过单片机存储器、I/O接口、中断、键盘、LED显示器的扩展、步进电机的环形分频器、驱动及保护电路、人机接口电路、中断系统及复位电路、单电压驱动电路等的设计,实现了四相步进电机的正反转,急停等功能。为实现单片机控制步进电机系统在数控机床上的应用,系统设计了两个外部中断,以实现步进电机在某段时间内的反复正反转功能,也即数控机床的刀架自动进给运动,随着单片机技术的不断发展,单片机在日用电子产品中的应用越来越广泛,自六十年代初期以来,步进电机的应用得到很大的提高。 关键词:步进电机单片机数码管显示

西门子200系列PLC直流步进电机控制方法

直流步进电机plc控制方法 系统功能概述: 本系统采用PLC通过步进电机驱动模块控制步进电机运动。当按下归零按键时,电机1和电机2回到零点(零点由传感器指示)。当按下第一个电机运行按键时,第一个电机开始运行,直到运行完固定步数或到遇到零点停止。当按下第二个电机运行按键时,第二个电机开始运行,运行完固定步数或遇到零点停止。两电机均设置为按一次按键后方向反向。电机运行时有升降速过程。 PLC输入点I0.0为归零按键,I0.1为第一个电机运行按键,I0.2为第二个电机运行按键,I0.3为第一个电机传感器信号反馈按键,I0.4为第二个电机传感器信号反馈按键。 PLC输出点Q0.0为第一个电机脉冲输出点,Q0.1为第二个电机脉冲输出点,Q0.2为第一个电机方向控制点,Q0.3为第二个电机方向控制点,Q0.4为电机使能控制点。 所用器材: PLC:西门子S7-224xpcn及USB下载电缆。编程及仿真用软件为V4.0 STEP 7 MicroWIN SP3。 直流步进电机2个,微步电机驱动模块2个。按键3个。24V开关电源一个。导线若干。 各模块连接方法: PLC与步进电机驱动模块的连接:

驱动模块中EN+、DIR+、CP+口均先接3k电阻,然后接24V 电源。 第一个驱动模块CP-接PLC的Q0.0,DIR-接PLC的Q0.2,EN-接PLC的Q0.4 第二个驱动模块CP-接PLC的Q0.1,DIR-接PLC的Q0.3,EN-接PLC的Q0.4 注意: 1、PLC输出时电压为24V,故和驱动器模块连接时,接了3k 电阻限流。 2、由于PLC处于PTO模式下只有在输出电流大于140mA时,才能正确的输出脉冲,故在输出端和地间接了200欧/2w下拉电阻,来产生此电流。(实验室用的电阻功率不足,用200欧电阻时功率至少在24*24/200=2.88w,即用3w的电阻) 3、PLC与驱动模块连接时,当PLC输出低电平时不能将驱动模块电平拉低,故在EN-和DIR-上接了200欧/2W下拉电阻 驱动模块与电机接法: 驱动模块的输出端分别与电机4根线连接 电机传感器与PLC连接: 传感器电源接24v,信号线经过240欧电阻(试验中两个470电阻并联得到)与24v电源上拉后,信号线接到PLC的I0.3和I0.4

基于FPGA的verilog的电子密码锁设计

一、概述 1.1 电子密码锁的现状 随着我国对外开放的不断深入,高档建筑发展很快,高档密码锁具市场的前景乐观。我国密码锁具行业对密码锁具高新技术的投入正逐年增大,高档密码锁的市场需求也逐年增加。在安防工程中,锁具产品是关系到整个系统安全性的重要设备,所以锁具产品的优劣也关系了整个安防工程的质量和验收。 目前,市场上比较先进的智能电子密码锁分别有:IC卡电子密码锁、射频卡式电子密码锁、红外遥控电子密码锁、指纹识别电子密码锁和瞳孔识别电子密码锁等。IC卡电子密码锁成本低,体积小,卡片本身无须电源等优点占领了一定的市场份额,但是由于有机械接触,会产生接触磨损,而且使用不太方便,在一定程度上限制了它的应用;射频卡式电子密码锁是非接触式电子密码锁,成本也不太高,体积跟IC卡密码锁相当,卡片使用感应电源,重量很轻,技术成熟,受到了广泛的欢迎,但是与IC卡电子密码锁相比,成本偏高;指纹识别电子密码锁和瞳孔识别电子密码锁可靠性很高,安全性是目前应用系统中最高的,但是成本高昂,还没进入大众化使用阶段。 在国外,美国、日本、德国的电子密码锁保密性较好,并结合感应卡技术,生物识别技术,使电子密码锁系统得到了飞跃式的发展。这几个国家的密码锁识别的密码更复杂,并且综合性比较好,已经进入了成熟期,出现了感应卡式密码锁,指纹式密码锁,虹膜密码锁,面部识别密码锁,序列混乱的键盘密码锁等各种技术的系统,它们在安全性,方便性,易管理性等方面都各有特长,新型的电子密码锁系统的应用也越来越广。 基于FPGA的电子密码锁是新型现代化安全管理系统,它集微机自动识别技术和现代安全管理措施为一体,它涉及电子,机械,计算机技术,通讯技术,生物技术等诸多新技术。它是解决重要部门出入口实现安全防范管理的有效措施,适用各种场合,如银行、宾馆、机房、军械库、机要室、办公间、智能化小区、工厂、家庭等。 在数字技术网络技术飞速发展的今天,电子密码锁技术得到了迅猛的发展。它早已超越了单纯的门道及钥匙管理,逐渐发展成为一套完整的出入管理系统。它在工作环境安全、人事考勤管理等行政管理工作中发挥着巨大的作用。在该系统的基础上增加相应的辅助设备可以进行电梯控制、车辆进出控制,物业消防监控、餐饮收费、私家车库管理等,真正实现区域内一卡智能管理。

基于FPGA的VerilogHDL数字钟设计

基于FPGA的Verilog-HDL数字钟设计--

————————————————————————————————作者:————————————————————————————————日期:

基于FPGA的Verilog HDL数字钟设计 专业班级姓名学号 一、实验目的 1.掌握可编程逻辑器件的应用开发技术——设计输入、编译、仿真和器件编程; 2.熟悉一种EDA软件使用; 3.掌握Verilog设计方法; 4.掌握分模块分层次的设计方法; 5.用Verilog完成一个多功能数字钟设计; 6.学会FPGA的仿真。 二、实验要求 功能要求: 利用实验板设计实现一个能显示时分秒的多功能电子钟,基本功能: 1)准确计时,以数字形式显示时、分、秒,可通过按键选择当前显示时间范围模式; 2)计时时间范围00:00:00-23:59:59 3)可实现校正时间功能; 4)可通过实现时钟复位功能:00:00:00 扩展功能: 5)定时报:时间自定(不要求改变),闹1分钟(1kHz)---利用板上LED或外接电路实现。 6)仿广播电台正点报时:XX:59:[51,53,55,57(500Hz);59(1kHz)] ---用板上LED或外接 7)报整点时数:XX:00:[00.5-XX.5](1kHz),自动、手动---用板上LED或外接

8)手动输入校时; 9)手动输入定时闹钟; 10)万年历; 11)其他扩展功能; 设计步骤与要求: 1)计算并说明采用Basys2实验板时钟50MHz实现系统功能的基本原理。 2)在Xilinx ISE13.1 软件中,利用层次化方法,设计实现模一百计数及显示的电路系 统,设计模块间的连接调用关系,编写并输入所设计的源程序文件。 3)对源程序进行编译及仿真分析(注意合理设置,以便能够在验证逻辑的基础上尽快 得出仿真结果)。 4)输入管脚约束文件,对设计项目进行编译与逻辑综合,生成下载所需.bit文件。 5)在Basys2实验板上下载所生成的.bit文件,观察验证所设计的电路功能。 三、实验设计 功能说明:实现时钟,时间校时,闹铃定时,秒表计时等功能 1.时钟功能:完成分钟/小时的正确计数并显示;秒的显示用LED灯的闪烁做指示; 时钟利用4位数码管显示时分; 2.闹钟定时:实现定时提醒及定时报时,利用LED灯代替扬声器发出报时声音; 3.时钟校时:当认为时钟不准确时,可以分别对分钟和小时位的值进行调整; 4.秒表功能:利用4个数码管完成秒表显示:可以实现清零、暂停并记录时间等功能。 秒表利用4位数码管计数; 方案说明:本次设计由时钟模块和译码模块组成。时钟模块中50MHz的系统时钟clk分频产

步进电机及其驱动电路

第三节步进电动机及其驱动 一、步进电机的特点与种类 1.步进电机的特点 步进电机又称脉冲电机。它是将电脉冲信号转换成机械角位移的执行元件。每当输入一个电脉冲时,转子就转过一个相应的步距角。转子角位移的大小及转速分别与输入的电脉冲数及频率成正比,并在时间上与输入脉冲同步。只要控制输入电脉冲的数量、频率以及电机绕组通电相序即可获得所需的转角、转速及转向。 步进电动机具有以下特点: ?工作状态不易受各种干扰因素(如电压波动、电流大小与波形变化、温度等)的影响; ?步进电动机的步距角有误差,转子转过一定步数以后也会出现累积误差,但转子转过一转以后,其累积误差变为“零” ; ?由于可以直接用数字信号控制,与微机接口比较容易; ?控制性能好,在起动、停止、反转时不易“丢步”; ?不需要传感器进行反馈,可以进行开环控制; ?缺点是能量效率较低。 就常用的旋转式步进电动机的转子结构来说,可将其分为以下三种: (1)可变磁阻(VR-Variable Reluctance),也叫反应式步进电动机 (2)永磁(PM-Permanent Magnet)型 (3)混合(HB-Hybrid)型 (1)可变磁阻(VR-Variable Reluctance) 结构原理:该类电动机由定子绕组产生的反应电磁力吸引用软磁钢制成的齿形转子作步进驱动,故又称作反应式步进电动机。其结构原理如图3.5定子1 上嵌有线圈,转子2朝定子与转子之间磁阻最小方向转动,并由此而得名可变磁阻型。

图3.6 可变式阻步进电机 可变磁阻步进电机的特点: 反应式电动机的定子与转子均不含永久磁铁,故无励磁时没有保持力; 需要将气隙作得尽可能小,例如几个微米; 结构简单,运行频率高,可产生中等转矩,步距角小(0.09~9°) 制造材料费用低; 有些数控机床及工业机器人上使用。 (3)混合(HB-Hybrid)型 结构原理 这类电机是PM式和VR式的复合形式。其定子与VR类似,表面制有小齿,转子由永磁铁和铁心构成,同样切有小齿,为了减小步距角可以在结构上增加转子和定子的齿数。其结构如图3.7所示。 混合式步进电机特点: HB兼有PM和VR式步进电机的特点: 步距角可以做得较小(0.9~3.6°); 无励磁时具有保持力; 可以产生较大转矩,应用较广。

步进电机实验报告

步进电机调速实验报告 班级:XX ________ 姓名:XX ___________ 学号: XXX 指导老师:XX

步进电机调速实验报告 、实验目的及要求: 1、熟悉步进电机的工作原理 2、熟悉51系列单片机的工作原理及调试方法 3、设计基于51系列单片机控制的步进电机调速原理图(要求实现电机的速度反馈测 量,测量方式:数字测量) 4、实现51系列单片机对步进电机的速度控制(步进电机由实验中心提供,具体型号 42BYG)由按钮控制步进电机的启动与停止;实现加速、匀速、和减速控制。速度设定 由键盘设定,步进电机的反馈速度由LED数码管显示。 二、实验原理: 1. 一般电动机都是连续旋转,而步进电动却是一步一步转动的,故叫步进电动机。步进 电机是数字控制电机,它将脉冲信号转变成角位移,即给一个脉冲信号,步进电机就转 动一个角度,因此非常适合于单片机控制。步进电动机的转子为多极分布,定子上嵌有 多相星形连接的控制绕组,由专门电源输入电脉冲信号,每输入一个脉冲信号,步进电 动机的转子就前进一步。由于输入的是脉冲信号,输出的角位移是断续的,所以又称为 脉冲电动机。随着数字控制系统的发展,步进电动机的应用将逐渐扩大。 进电动机需配置一个专用的电源供电,电源的作用是让电动机的控制绕组按 照特定的顺序通电,即受输入的电脉冲控制而 动作,这个专用电源称为驱动电源。步进电动 机及其驱动电源是一个互相联系的整体,步进 图&步1誉赳动机驱动电源迪打框圏电动机的运行性能是由电动机和驱动电源两者 配合所形成的综合效果。 2.对驱动电源的基本要求 (1)驱动电源的相数、通电方式和电压、电流都要满足步进电动机的需要; (2)要满足步进电动机的起动频率和运行频率的要求; (3)能最大限度地抑制步进电动机的振荡; (4)工作可靠,抗干扰能力强; (5)成本低、效率高、安装和维护方便。

步进电机控制速度的方法

步进电机只能够由数字信号控制运行的,当脉冲提供给驱动器时,在过于短的时间里,控制系统发出的脉冲数太多,也就是脉冲频率过高,将导致步进电机堵转。要解决这个问题,必须采用加减速的办法。就是说,在步进电机起步时,要给逐渐升高的脉冲频率,减速时的脉冲频率需要逐渐减低。这就是我们常说的“加减速”方法。 步进电机转速度是根据输入的脉冲信号的变化来改变的,从理论上讲,给驱动器一个脉冲,步进电机就旋转一个步距角(细分时为一个细分步距角)。实际上,如果脉冲信号变化太快,步进电机由于内部的反向电动势的阻尼作用,转子与定子之间的磁反应将跟随不上电信号的变化,将导致堵转和丢步。 所以步进电机在高速启动时,需要采用脉冲频率升速的方法,在停止时也要有降速过程,以保证实现步进电机精密定位控制。加速和减速的原理是一样的。以加速实例加以说明:加速过程是由基础频率(低于步进电机的直接起动最高频率)与跳变频率(逐渐加快的频率)组成加速曲线(降速过程反之)。跳变频率是指步进电机在基础频率上逐渐提高的频率,此频率不能太大,否则会产生堵转和丢步。 步电机系统解决方案

加减速曲线一般为指数曲线或经过修调的指数曲线,当然也可采用直线或正弦曲线等。使用单片机或者PLC,都能够实现加减速控制。对于不同负载、不同转速,需要选择合适的基础频率与跳变频率,才能够达到最佳控制效果。指数曲线,在软件编程中,先算好时间常数存贮在计算机存贮器内,工作时指向选取。通常,完成步进电机的加减速时间为300ms以上。如果使用过于短的加减速时间,对绝大多数步进电机来说,就会难以实现步进电机的高速旋转。 深圳市维科特机电有限公司成立于2005年,是步进电机产品的销售、系统集成和应用方案提供商。我们和全球产品性价比高的生产厂家合作,结合本公司专家团队多年的客户服务经验,给客户提供有市场竞争力的步进电机系统解决方案。我们的主要产品有信浓(SHINANO KENSHI)混合式步进电机、日本脉冲(NPM)永磁式步进电机、减速步进电机、带刹车步进电机、直线步进电机、空心轴步进电机、防水步进电机以及步进驱动器、减振垫、制振环、电机引线、拖链线、齿轮、同步轮、手轮等专业配套产品。我们还供应德国TRINAMIC驱动芯片和日本NPM运动控制芯片。根据客户配套需要,我们还可以 步电机系统解决方案

步进电机实验报告

Arduino步进电机实验报告 步进电机是将电信号转变为或的开环控制电机,是现代数字程序控制系统中的主要执行元件,应用极为广泛。在非超载的情况下,的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度,称为“步距角”,它的旋转是以固定的角度一步一步运行的。可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制来控制电机转动的和,从而达到调速的目的。 实验目的: (1)了解步进电动机工作原理。 (2)熟悉步进电机驱动器使用方法。 (3)掌握步进电动机转向控制编程。 实验要求: (1)简要说明步进电动机工作原理。 (2)熟记步进电机驱动器的使用方法。 (3)完成步进电动机转速转向控制编程与实现。 (4)提交经调试通过的程序一份并附实验报告一份。 实验准备: 1. ArduinoUNOR3开发板 Arduino是一块基于开放原始代码的Simplei/o平台,并且具有开发语言和开发环境都很简单、易理解的特点。让您可以快速使用Arduino做出有趣的东西。它是一个能够用来感应和控制现实物理世界的一套工具。它由一个基于单片机并且开放源码的硬件平台,和一套为Arduino板编写程序的开发环境组成。Arduino可以用来开发交互产品,比如它可以读取大量的开关和传感器信号,并且可以控制各式各样的电灯、电机和其他物理设备。Arduino项目可以是单独的,也可以在运行时和你电脑中运行的程序(例如:Flash,Processing,MaxMSP)进行通讯。 2. ULN2003芯片 ULN2003 是高耐压、大电流复合晶体管阵列,由七个硅NPN 复合晶体管组成。可以

步进电机控制方法

第四节 步进电机的控制与驱动 步进电机的控制与驱动流程如图4-11所示。主要包括脉冲信号发生器、环形脉冲分配器和功率驱动电路三大部分。 步进脉冲 方向电平 图4-11 步进电机的控制驱动流程 二、步进电机的脉冲分配 环形分配器是步进电机驱动系统中的一个重要组成部分,环形分配器通常分为硬环分和软环分两种。硬环分由数字逻辑电路构成,一般放在驱动器的内部,硬环分的优点是分配脉冲速度快,不占用CPU的时间,缺点是不易实现变拍驱动,增加的硬件电路降低了驱动器的可靠性;软环分由控制系统用软件编程来实现,易于实现变拍驱动,节省了硬件电路,提高了系统的可靠性。 1.采用硬环分时的脉冲分配 采用硬环分时,步进电机的通电节拍由硬件电路来决定,编制软件时可以不考虑。控制器与硬环分电路的连接只需两根信号线:一根方向线,一根脉冲线(或者一根正转脉冲线,一根反转脉冲线)。假定控制器为AT89S52单片机,晶振频率为12MHz,如图4-18:P1.0输出方向信号,P1.1输出脉冲信号。 则控制电机走步的程序如下: (1)电机正转100步 MOV 0FH,#100D ;准备走100步 CONT1: SETB P1.0 ;正转时P1.0=1 CLR P1.1 ;发步进脉冲的下降沿(设驱动器对于脉冲的下降沿有效) NOP ;延时(延时的目的是让驱动电路的光耦充分导通) NOP ;延时(根据驱动器的需要,调整延时) SETB P1.1 ;发步进脉冲的上升沿 MOV 0EH,#4EH ;两脉冲之间延时20000μs(决定电机的转速) MOV 0DH,#20H ;20000的HEX码为4E20 CALL DELAY ;调用延时子程序 DJNZ 0FH,CONT1 ;循环次数减1后,若不为0则继续,循环100次 RET (2)电机反转100步 MOV 0FH,#100D ;准备走100步 CONT2: CLR P1.0 ;反转时P1.0=0 CLR P1.1 ;发步进脉冲的下降沿(设驱动器对于脉冲的下降沿有效) NOP ;延时(延时的目的是让驱动电路的光耦充分导通) NOP ;延时(根据驱动器的需要,调整延时) SETB P1.1 ;发步进脉冲的上升沿

基于FPGA的SDRAM实验Verilog源代码

// megafunction wizard: %ALTPLL% // GENERATION: STANDARD // VERSION: WM1.0 // MODULE: altpll // ============================================================ // File Name: clk_ctrl.v // Megafunction Name(s): // altpll // // Simulation Library Files(s): // altera_mf // ============================================================ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // // 11.0 Build 208 07/03/2011 SP 1 SJ Full Version // ************************************************************ //Copyright (C) 1991-2011 Altera Corporation //Your use of Altera Corporation's design tools, logic functions //and other software and tools, and its AMPP partner logic //functions, and any output files from any of the foregoing //(including device programming or simulation files), and any //associated documentation or information are expressly subject //to the terms and conditions of the Altera Program License //Subscription Agreement, Altera MegaCore Function License //Agreement, or other applicable license agreement, including, //without limitation, that your use is for the sole purpose of //programming logic devices manufactured by Altera and sold by //Altera or its authorized distributors. Please refer to the //applicable agreement for further details. // synopsystranslate_off `timescale 1 ps / 1 ps // synopsystranslate_on moduleclk_ctrl ( areset, inclk0, c0, c1, c2,

步进电机控制系统设计

湖南工程学院 课程设计 课程名称微机原理与应用 课题名称步进电机控制系统设计 专业 班级 学号 姓名 指导教师

湖南工程学院 课程设计任务书 课程名称微机原理与应用 课题步进电机控制系统设计 专业班级 学生姓名 学号 指导老师 审批 任务书下达日期 任务完成日期

目录 第1章目的与要求 (4) 第2章步进电机的原理介绍 (5) 2.1 步进电机的工作原理 (5) 2.2 步进电机的基本术语 (5) 2.2.1 相数 (5) 2.2.2 拍数 (6) 2.2.3 步距角 (6) 2.2.4 信号分配 (6) 第3章设计总体思路 (7) 3.1 方案与思路 (7) 3.2 总框图 (7) 第4章程序设计 (8) 4.1 主程序 (8) 4.2 显示子程序 (9) 4.3 键盘扫描子程序 (10) 第5章硬件设计 (11) 5.1 硬件的设计与选取 (11) 5.1.1步进电机的特点 (11) 5.1.2 步进电机的分类 (11) 5.1.3步进电机的注意点 (11) 5.2 单片机的选取 (12) 5.3 四位LED数码管的选取 (14) 5.4 其他零件根据实际情况选取 (14) 5.5 硬件的焊接 (15) 第6章调试与故障分析 (16) 6.1 软件部分 (16) 6.2 硬件部分 (16) 6.3 故障分析及解决 (17) 第7章总结 (18) 第8章参考文献 (19) 附件 (20) 系统原理图 (20) 元器件清单 (21) 源程序清单 (21)

第1章目的与要求 综合运用所学的《单片机原理与应用》理论知识,通过实践加强对所学知识的理解,具备设计单片机应用系统的能力,以单片机为核心设计一个步进电机控制系统,要求能够通过键盘设置步进电机的转向和转速,并在LED显示器上显示步进电机转速或工作状态。本课题以单片机为核心,设计并制作出步进电机控制系统,设计要求: 1、按下不同的键,分别使步进电机实现顺时针和逆时针旋转。 2、电机运转状态可以是正反转,加速减速,五种不同速度的各种组 合。 3、通过LED数码管显示电机运行状态。

相关文档
相关文档 最新文档