文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑电路习题集

数字逻辑电路习题集

数字逻辑电路习题集
数字逻辑电路习题集

第一章 数字逻辑电路基础

一、填空题

1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续)

2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续)

3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑)

4、用二进制数表示文字、符号等信息的过程称为_____________。(编码)

5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、16

6、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、111100、11010111)

7、最基本的三种逻辑运算是 、 、 。(与、或、非)

8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演)

9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图)

10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可)

11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数Y= 。(C B A Y )(+=)

13、写出下面逻辑图所表示的逻辑函数Y= 。())((C A B A Y ++=)

14、半导体二极管具有 性,可作为开关元件。(单向导电)

15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题

1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。(√)

2、二进制只可以用来表示数字,不可以用来表示文字和符号等。(╳)

3、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳)

4、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳)

5、证明两个函数是否相等,只要比较它们的真值表是否相同即可。(√)

6、在逻辑函数表达式中,如果一个乘积项包含的输入变量最少,那么该乘积项叫做最小项。(╳)

7、当决定一件事情的所有条件全部具备时,这件事情才发生,这样的逻辑关系称为非。(╳)

8、在全部输入是“0”的情况下,函数B

=运算的结果是逻辑“0”。(╳)

Y+

A

9、逻辑变量取值的0和1表示事物相互独立而又联系的两个方面。(√)

10、在变量A、B取值相异时,其逻辑函数值为1,相同时为0,称为异或运算。(√)

11、逻辑函数的卡诺图中,相邻最小项可以合并。(√)

12、对任意一个最小项,只有一组变量取值使得它的值为1.(√)

13、任意的两个最小项之积恒为0。(√)

14、半导体二极管因为其有导通、截止两种工作状态,所以可以作为开关元件使用;半导体三极管因为其有饱和、截止、放大三种工作状态,所以其不可以作为开关元件使用。(╳)

15、半导体二极管、三极管、MOS管在数字电路中均可以作为开关元件来使用。(√)

三、选择题

1、下列哪些信号属于数字信号(B)。

A、正弦波信号

B、时钟脉冲信号

C、音频信号

D、视频图像信号

2、数字电路中的三极管工作在(C)。

A、饱和区

B、截止区

C、饱和区或截止区

D、放大区

3、十进制整数转换为二进制数一般采用(A)

A、除2取余法

B、除2取整法

C、除10取余法

D、除10取整法

4、将十进制小数转换为二进制数一般采用(B)

A、乘2取余法

B、乘2取整法

C、乘10取余法

D、乘10取整法

5、在(A)的情况下,函数B

=运算的结果是逻辑“0”

A

Y+

A、全部输入是“0”

B、任一输入是“0”

C、任一输入是“1”

D、全部输入是“1”

6、在(B)的情况下,函数AB

Y=运算的结果是逻辑“1”

A、全部输入是“0”

B、任一输入是“0”

C、任一输入是“1”

D、全部输入是“1”

7、在(D)的情况下,函数AB

Y=运算的结果是逻辑“1”

A、全部输入是“0”

B、任一输入是“0”

C、任一输入是“1”

D、全部输入是“1”

8、逻辑表达式=+BC A (C )

A 、A

B B 、

C A + C 、))((C A B A ++

D 、C B + 9、逻辑表达式ABC =(B )

A 、C

B A ++ B 、

C B A ++ C 、C B A ++

D 、C B A ?? 10、下列逻辑式中,正确的是(A )

A 、A A A =+

B 、0=+A A

C 、1=+A A

D 、1=?A A 11、下列逻辑式中,正确的是(A )

A 、0=?A A

B 、1=?A A

C 、0=?A A

D 、0=+A A 12、逻辑函数式AB B A B A ++,化简后结果是(C ) A 、AB B 、B A B A + C 、B A + D 、AB B A + 13、全部的最小项之和恒为(B )

A 、0

B 、1

C 、0或1

D 、非0非1 14、对于四变量逻辑函数,最小项有(D )个 A 、0 B 、1 C 、4 D 、16 15、正逻辑是指(C )

A 、高电平用“1”表示

B 、低电平用“0”表示

C 、高电平用“1”表示,低电平用“0”表示

D 、高电平用“0”表示,低电平用“1”表示 四、简答题

1、数制转换(要求写出必要的计算过程)

2(10011011)(= 8)(= 16) 答:233、9B

2、数制转换(要求写出必要的计算过程)

16()(AE = 2)(= 8) 答:10101110、256

3、数制转换(要求写出必要的计算过程)

(1)()125(10= 2) (2)()375.13(10= 2) 答:(1)1111101(2)1101.011 4、应用逻辑代数运算法则证明下列各式:

(1)AB B A B A AB +=+ (2)B B C B B B A A =++++)()( 证明:(1)等式右边B A AB B A B A B A B A +=++=?=))((,得证。

(2)等式左边B BC B AB =++=,得证。

5、应用逻辑代数运算法则证明下列各式:

(1)A B A B A =+++ (2)1=+++C A C A B A AB 证明:(1)右左==+=+=A AB B A B A B A ,得证。 (2)右左==+=+++=1)()(A A C C A B B A ,得证。 6、化简逻辑表达式:

(1))(A BC AB Y += (2)))()((C C B C B B C B A Y ++++++= 解:(1)AB AB ABC A BC AB Y =+=+=)( (2)

C

B A B

C C B A C C B C B B C B A Y ++=++++=++++++=)1)(1)(())()((

7、把下面各逻辑函数写成最小项表达式。

(1)AC C B B A Y ++= (2)B C B A Y += 解:(1)Y=m1+m2+m3+m5+m7 (2)Y=m2+m4+m5+m6 五、分析计算题

1、分别采用公式法及卡诺图法化简下列逻辑表达式,并列出真值表。

BC A C B A C B A C B A C B A Y ++++=

解:(1)公式法

C

B A B

C A C B BC A BC A C B C B A BC A C B C A B A BC A C B A C B A C B A C B A C B A C B A BC A C B A C B A C B A C B A Y +=++=+++=+++=++++++=++++=)(

(2)卡诺图法(略)

(3)真值表

第二章 逻辑门电路

一、填空题

1、在逻辑门电路中,最基本的逻辑门是 、 和 。(与门、或门、非门)

2、与门电路和或门电路具有 个输入端和 个输出端。(多、一)

3、非门电路是端输入、端输出的电路。(单、单)

4、TTL门电路具有、和等优点。(负载能力强、抗干扰能力强、转换速度高)

5、OC门是一种特殊的TTL与非门,它的特点是输出端可以并联输出,即。(线与)

6、三态门除了高电平、低电平两个状态外,还有第三个状态,这第三个状态常称为。(高阻态)

二、判断题

1、与门、或门和非门都具有多个输入端和一个输出端。(╳)

2、在与门电路后面加上非门,就构成了与非门电路。(√)

3、TTL门电路具有负载能力强、抗干扰能力强和转换速度高等特点。(√)

4、门电路的应用日益广泛,利用它的组合产生新逻辑功能,组成触发器、振荡器,并实现各种控制功能。(√)

5、CMOS门电路的输入端在使用中不允许悬空。(√)

三、选择题

1、输出端可并联使用的TTL门电路是(B)

A、三态门

B、OC门

C、与非门

D、或非门

2、下面哪项不是三态门的主要用途(C)

A、构成数据总线

B、用作多路开关

C、输出端并联输出

D、用于双向传输

四、简答题

1、画出逻辑函数B

A

B

A

L?

+

?

=的逻辑图。

2、写出如图所示逻辑图的函数表达式。

解:AC

BC

AB

L+

+

=

A B L

L

A B

C

B

A

L (第一题)(第二题)

第三章组合逻辑电路

一、填空题

1、根据逻辑功能的不同特点,逻辑电路可分为两大类:和。(组合逻辑电路、时序逻辑电路)

2、组合逻辑电路主要是由、和三种基本逻辑门电路构成的。(与门、或门、非门)

3、只考虑,而不考虑的运算电路,称为半加器。(加数和被加数,低位进位)

4、不仅考虑,而且考虑的运算电路,称为全加器。(加数和被加数,低位进位)

5、是编码的逆过程。(译码)

6、数据选择器是在的作用下,从中选择作为输出的组合逻辑电路。(选择信号、多个数据、某一数据或一个数据)

7、从奇偶校验角度来说,数码1011011是码,1001011是码。(奇性、偶性)

8、只读存储器用于存放,它只能按给定地址,而不能。简称为ROM。(信号、读取、写入)

9、PLD的基本结构是由和,再加上电路组成的。(与门阵列、或门阵列、输入输出)

10、PLD的每个输出是其输入的。(标准与或表达式)

二、判断题

1、在任何时刻,电路的输出状态只取决于该时刻的输入,而与该时刻之前的电路状态无关的逻辑电路,称为组合逻辑电路。(√)

2、组合逻辑电路的逻辑功能可用逻辑图、真值表、逻辑表达式、卡诺图和波形图五种方法来描述,它们在本质上是相通的,可以互相转换。(√)

3、A

A+型竞争冒险也称为1型竞争冒险。(╳)

4、A

A?型竞争冒险也称为0型竞争冒险。(╳)

5、3位二进制译码器应有3个输入端和8个输出端。(√)

6、显示译码器只有一种,是发光二极管显示器(LED)。(╳)

7、LCD是液晶显示器,是显示译码器的一种。(√)

8、3线—8线译码电路是三—八进制译码器。(╳)

9、十六路数据选择器的地址输入端有四个。(√)

10、能将一个数据,根据需要传送到多个输出端的任何一个输出端的电路,称为数据选择器。(╳)

11、只读存储器是由地址编码器和存储体两部分组成的。(╳)

12、ROM的逻辑结构可以看成一个与门阵列和一个或门阵列的组合。(√)

13、存储器所存储的二进制信息的总位数称为存储器的存储容量。(√)

14、用PLD可以实现任何组合逻辑函数,PLD配合触发器可实现任何时序逻辑电路。(√)

15、用PLA实现逻辑函数时,首先将逻辑函数化简为最简与或式。(√)

三、选择题

1、组合逻辑电路的输出取决于(A)

A、输入信号的现态

B、输出信号的现态

C、输出信号的次态

D、输入信号的现态和输出信号的现态

2、组合逻辑电路是由(A)构成。

A、门电路

B、触发器

C、门电路和触发器

D、计数器

3、组合逻辑电路(B)

A、具有记忆功能

B、没有记忆功能

C、有时有记忆功能,有时没有

D、以上都不对

4、半加器的逻辑功能是(A)

A、两个同位的二进制数相加

B、两个二进制数相加

C、两个同位的二进制数及来自低位的进位三者相加

D、两个二进制数的和的一半

5、全加器的逻辑功能是(C)

A、两个同位的二进制数相加

B、两个二进制数相加

C、两个同位的二进制数及来自低位的进位三者相加

D、不带进位的两个二进制数相加

6、对于两个4位二进制数A(A

3A

2

A

1

A

)、B(B

3

B

2

B

1

B

),下面说法正确的是(A)

A、如果A

3>B

3

,则A>B B、如果A

3

<B

3

,则A>B

C、如果A

0>B

,则A>B D、如果A

<B

,则A>B

7、对于8421BCD码优先编码器,下面说法正确的是(A)

A、有10根输入线,4根输出线

B、有16根输入线,4根输出线

C、有4根输入线,16根输出线

D、有4根输入线,10根输出线

8、对于8线—3线优先编码器,下面说法正确的是(B)

A、有3根输入线,8根输出线

B、有8根输入线,3根输出线

C、有8根输入线,8根输出线

D、有3根输入线,3根输出线

9、3线-8线译码电路是(A)译码器

A、三位二进制

B、三进制

C、三-八进制

D、八进制

10、实现多输入、单输出逻辑函数,应选(C)

A、编码器

B、译码器

C、数据选择器

D、数据分配器

11、实现单输入、多输出逻辑函数,应选(D)

A、编码器

B、译码器

C、数据选择器

D、数据分配器

12、1路—4路数据分配器有(A)

A、一个数据输入端,两个选择控制端,四个数据输出端

B、四个数据输入端,两个选择控制端,一个数据输出端

C、一个数据输入端,一个选择控制端,四个数据输出端

D 、四个数据输入端,一个选择控制端,一个数据输出端 13、只能读出不能写入,但信息可永久保存的存储器是(A ) A 、ROM B 、RAM C 、RPROM D 、PROM

14、一个具有n 根地址输入线和k 条输出线的ROM 存储容量是(C ) A 、k n ? B 、k n ?2

C 、k n

?2 D 、k

n 2

?

15、PLA 是指(A )

A 、可编程逻辑阵列

B 、现场可编程门阵列

C 、随机读写存储器

D 、通用阵列逻辑 四、分析计算题

1、组合电路如图所示,分析该电路的逻辑功能。

解:(1)写表达式:ABC P =,ABC C ABC B ABC A CP BP AP L ++=++= (2)化简与变换:C B A ABC C B A ABC C B A ABC L +=+++=++=)( (3)由表达式列出真值表: (4)分析逻辑功能 :

当A 、B 、C 三个变量不一致时,电路输出为“1”,所以这个电路称为“不一致电路”。 2、分析如图所示的组合逻辑电路的功能。

解:(1)写表达式:A C C B B A Y ??=

(2)化简与变换:A C C B B A A C C B B A A C C B B A Y ++=++=??= (3)由表达式列出真值表:

(4)分析逻辑功能 :当A 、B 、C 三个变量一致时,电路输出为“0”,否则输出为“1”,所以这个电路称为“一致电路”。

3、设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,要求:使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开关关灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后,用楼下开关关灭电灯。

解:设楼上开关为A ,楼下开关为B ,灯泡为Y 。并设A 、B 闭合时为1,断开时为0;灯亮时Y 为1,灯灭时Y 为0。根据逻辑要求列出真值表。

B A B A Y +=4、用与非门设计一个举重裁判表决电路,要求:

(1)设举重比赛有3个裁判,一个主裁判和两个副裁判。

(2)杠铃完全举上的裁决由每一个裁判按一下自己面前的按钮来确定。

(3)只有当两个或两个以上裁判判明成功,并且其中有一个为主裁判时,表明成功的灯才亮。 解:设主裁判为变量A ,副裁判分别为B 和C ;表示成功与否的灯为Y ,根据逻辑要求列出真值表。

ABC C AB C B A Y ++= BC AB BC AB Y ?=+=

5、某设备有开关A 、B 、

C

,要求:只有开关A 接通的条件下,开关B 才能接通;开关C 只有在开关 B 接通的条件下才能接通。违反这一规程,则发出报警信号。设计一个由与非门组成的能实现这一功能的报警控制电路。 解:(1)分析题意,写出真值表:

由题意可知,该报警电路的输入变量是三个开关A 、B 、C 的状态,设开关接通用1表示,开关断开用0表示;设该电路的输出报警信号为F ,F 为1表示报警,F 为0表示不报警。 (2)由真值表写表达式:C B A BC A C B A C B A F +++=

(3)化简函数表达式:C B A BC A C B A C B A F +++= C B B A C B B A F ?=+= (4)画逻辑图

00 0 11110100

0 0 0

0 1 00 1 11 0 01 0 11 1 01 1 1

F A B C

6、设有甲乙丙三人进行表决,若有两人以上(包括两人)同意,则通过表决,用ABC 代表甲乙丙,用L 表示表决结果。试写出真值表,逻辑表达式,并画出用与非门构成的逻辑图。 解:(1)分析题意,写出真值表:

用1表示同意,0表示反对或弃权。可列出真值表如下: (2)由真值表写表达式BC A ABC C AB C B A L +++= (3)化简函数表达式BC

AB AC BC AB AC BC AB AC BC A ABC C AB C B A L ??=++=++=+++=

(4)画逻辑图

01000010

1

111

11101101101100010000L ABC

7、试用译码器74LS138和门电路实现逻辑函数:AC BC AB L ++= 解:将逻辑函数转换成最小项表达式,再转换成与非—与非形式。

ABC C AB C B A BC A L +++==m3+m5+m6+m7=????7653m m m m 用一片74138加一个与非门就可实现该逻辑函数。 8、用74LS138实现逻辑函数F=∑(1,2,4,7)。 解:化简逻辑函数

ABC

C B A C B A C B A ABC

C B A C B A C B A C B A F ???=+++=∑=)7,4,2,1(),,(

令A2=A ,A1=B ,A0=C ,

则7421012012012012F F F F A A A A A A A A

A A A A F ???=???=

9、用全译码器74LS138实现逻辑函数ABC C B A C B A C B A f +++=

解:(1

)全译码器的输出为输入变量的相应最小项之非,故先将逻辑函数式 f 写成最小项之反的 (2)f 有三个变量,因而选用三变量译码器。

(3)变量C 、B 、A 分别接三变量译码器的C 、B 、A

(4)连线:

1G 0A 74138

G 2A 2B 12A G A Y 1Y Y Y 2Y Y Y 73Y 4560

A

B

C

1

00

L

&

(第七题) (第八题) (第九题)

10、用八选一数据选择器74LS151实现下列逻辑函数:ABC C AB C B A BC A L +++= 解:(1)将逻辑函数转换成最小项表达式:ABC C AB C B A BC A L +++= =m3+m5+m6+m7 (2)画出连线图。

11、试用八选一数据选择器74LS151实现逻辑函数:F(A,B,C)=∑(m1,m2,m4,m7)。 12、试用四选一数据选择器74LS151实现逻辑函数:F(A,B,C)=∑(m1,m2,m4,m7)。 解:ABC C B A C B A C B A ABC C B A C B A C B A F +++=+++=

301201101001D A A D A A

D A A D A A F +++=

L

1

图4.3.5 例4.3.1逻辑图

F =∑(m 1,m 2,m 4,m 7)

∑(m

C

D ==21

(第十题) (第十yi 题) (第十er 题)

13、试用4选1数据选择器74LS151实现逻辑函数:C A BC AB L ++=

解:将A 、B 接到地址输入端,C 加到适当的数据输入端。作出逻辑函数L 的真值表,根据真值表画出连线图。

C

第四章 触发器

一、填空题

1、触发器具有 个稳定状态,在输入信号消失后,它能保持 。(

2、稳定状态) 2、在基本RS 触发器暗中,输入端D R 或D R 能使触发器处于 状态,输入端D S 或D S 能使触发器处于 状态。(复位、置位)

3、同步RS 触发器状态的改变是与 信号同步的。(CP 脉冲)

4、在CP 有效期间,若同步触发器的输入信号发生多次变化时,其输出状态也会相应产生多次变化,这种现象称为 。(触发器的空翻)

5、同步D 触发器的特性方程为 。(D Q n =+1)

6、主从触发器是一种能防止 现象的触发器。(空翻)

7、在CP 脉冲和输入信号作用下,JK 触发器能够具有 、 、 、和 的逻辑功能。(保持、置0、置1、翻转)

8、在CP 脉冲有效期间,D 触发器的次态方程1+n Q = ,JK 触发器的次态方程

1+n Q = 。(D 、n n Q K Q J +)

9、对于JK 触发器,当CP 脉冲有效期间,若J=K=0时,触发器状态 ;若K J =时,触发器 或 ;若J=K=1时,触发器状态 。(保持、置0、置1、翻转)

10、同步触发器属 触发的触发器;主从触发器属 触发的触发器。(电平、边沿) 11、边沿触发器是一种能防止 现象的触发器。(一次翻转) 12、与主从触发器相比, 触发器的抗干扰能力较强。(边沿) 13、对于JK 触发器,若J=K ,则可完成 触发器的逻辑功能。(T ) 14、对于JK 触发器,若K J =,则可完成 触发器的逻辑功能。(D )

15、将D 触发器的D 端与Q 端直接相连时,D 触发器可转换成 触发器。(T ’) 二、判断题

1、触发器有两个稳定状态,一个是现态,一个是次态。(╳)

2、触发器有两个稳定状态,在外界输入信号的作用下,可以从一个稳定状态转变为另一个稳定状态。(√)

3、触发器的逻辑功能可以用真值表、卡诺图、特性方程、状态图和波形图等五种方式描述。(√)

4、同步D 触发器的Q 端和D 端的状态在任何时刻都是相同的。(╳)

5、主从触发器能避免触发器的空翻现象。(√)

6、主从触发器存在“一次翻转”现象。(√)

7、主从JK 触发器和边沿JK 触发器的特性方程是相同的。(√) 8、采用边沿触发器是为了防止空翻。(╳)

9、同一逻辑功能的触发器,其电路结构一定相同。(╳) 10、仅具有反正功能的触发器是T 触发器。(╳) 三、选择题

1、对于触发器和组合逻辑电路,以下(D )的说法是正确的。 A 、两者都有记忆能力 B 、两者都无记忆能力

C 、只有组合逻辑电路有记忆能力

D 、只有触发器有记忆能力 2、CP 有效期间,同步RS 触发器的特性方程是(B )。

A 、n n Q R S Q +=+1

B 、n n Q R S Q +=+1(RS=0)

C 、n n RQ S Q +=+1

D 、n n RQ S Q +=+1(RS=0)

3、CP 有效期间,同步D 触发器特性方程是(A )。

A 、D Q n =+1

B 、n n DQ Q =+1

C 、n n Q

D Q ⊕=+1 D 、n n Q D Q ⊕=+1 4、对于JK 触发器,输入J=0、K=1,CP 脉冲作用后,触发器的1+n Q 应为(A )。 A 、0 B 、1 C 、可能是0,也可能是1 D 、与n Q 有关 5、JK 触发器在CP 脉冲作用下,若使n n Q Q =+1,则输入信号应为(A )。 A 、1==K J B 、Q K Q J ==, C 、Q K Q J ==, D 、0==K J 6、具有“置0” “置1” “保持” “翻转”功能的触发器叫(A )。

A 、JK 触发器

B 、基本RS 触发器

C 、同步

D 触发器 D 、同步RS 触发器 7、边沿控制触发的触发器的触发方式为(C )。 A 、上升沿触发 B 、下降沿触发

C 、可以是上升沿触发,也可以是下降沿触发

D 、可以是高电平触发,也可以是低电平触发 8、为避免一次翻转现象,应采用(D )触发器。 A 、高电平 B 、低电平 C 、主从 D 、边沿 9、仅具有“保持”“翻转”功能的触发器叫(D )。

A 、JK 触发器

B 、RS 触发器

C 、

D 触发器 D 、T 触发器 10、仅具有“翻转”功能的触发器叫(D )。

A 、JK 触发器

B 、RS 触发器

C 、

D 触发器 D 、T ’触发器 四、简答题

1、画出用边沿JK 触发器实现边沿T 触发器的逻辑图。答:略

2、画出用主从RS 触发器实现n n n Q K Q J Q +=+1的逻辑图。答:略

3、画出用JK 触发器实现n n Q Q =+1的逻辑图。答:略

4、画出用主从RS 触发器实现n n n Q K Q J Q +=+1的逻辑图。答:略

5、已知同步D 触发器的输入信号波形,画出输出Q 端信号波形。

6

第五章 时序逻辑电路

一、填空题

1、时序逻辑电路任何时刻的输出信号不仅取决于 ,而且还取决于 。(当时的输入信号、电路原来的状态)

2、时序逻辑电路逻辑功能的表示方法有 、 、 、和 四种。(方程、状态转换真值表、状态转换图、时序图)

3、进行时序逻辑电路的分析时,需要列出逻辑电路的一些方程式,这些方程式包括 、 、 和 。(时钟方程、输出方程、驱动方程、状态方程)

4、用来记忆和统计输入CP 脉冲个数的电路,称为 。(计数器)

5、用以存放二进制代码的电路称为 。(寄存器)

6、具有存放数码和使数码逐位右移或左移的电路称为 。(移位寄存器或移存器)

7、产生 的电路称为顺序脉冲发生器。(顺序脉冲信号) 二、判断题

1、时序逻辑电路的特点是在任何时刻的输出不仅和输入有关,而且还取决于电路原来的状态。(√)

2、时序逻辑电路由存储电路和触发器两部分组成。(╳)

3、为了记忆电路的状态,时序电路必须包含存储电路,存储电路通常以触发器为基本单元电路组

J K

成。(√)

4、计数器能够记忆输入CP脉冲的最大数目,叫做这个计数器的长度,也称为计数器的“模”。(√)

5、同步时序电路和异步时序电路的最主要区别是,前者没有CP脉冲,后者有CP脉冲。(╳)

6、同步时序电路和异步时序电路的最主要区别是,前者的所有触发器受同一时钟脉冲控制,后者的各触发器受不同的时钟脉冲控制。(√)

7、时序电路的逻辑功能可用逻辑图、逻辑表达式、状态表、卡诺图、状态图和时序图等方法来描述,它们在本质上是相通的,可以互相转换。(√)

8、当时序逻辑电路进入无效状态后,若能自动返回有效工作状态,该电路能自启动。(√)

9、74LS163是集成4位二进制(十六进制)同步加法计数器。(√)

三、选择题

1、时序逻辑电路中一定包含(A)

A、触发器

B、编码器

C、移位寄存器

D、译码器

2、时序电路某一时刻的输出状态,与该时刻之前的输入信号(A)

A、有关

B、无关

C、有时有关,有时无关

D、以上都不对

3、用n个触发器构成计数器,可得到的最大计数长度为(D)

A、n

B、n

2 C、2n D、n2

4、同步时序逻辑电路和异步时序逻辑电路比较,其差异在于后者(B)

A、没有触发器

B、没有统一的时钟脉冲控制

C、没有稳定状态

D、输出只与内部状态有关

5、一位8421BCD计数器,至少需要(B)个触发器。

A、3

B、4

C、5

D、10

6、经过有限个CP,可由任意一个无效状态进入有效状态的计数器是(A)自启动的计数器。

A、能

B、不能

C、不一定能

D、以上都不对

7、构成数码寄存器和移位寄存器的触发器,其逻辑功能一定为(B)

A、JK触发器

B、D触发器

C、基本RS触发器

D、T触发器

8、要想把串行数据转换成并行数据,应选(C)。

A、并行输入串行输出方式

B、串行输入串行输出方式

C、串行输入并行输出方式

D、并行输入并行输出方式

9、寄存器在电路组成上的特点是(B)

A、有CP输入端,无数码输入端。

B、有CP输入端和数码输入端。

C、无CP输入端,有数码输入端。

D、无CP输入端和数码输入端。

10、通常寄存器应具有(D)功能。

A、存数和取数

B、清零和置数

C、A和B都有

D、只有存数、取数和清零,没有置数。

三、分析计算题

1、分析图示时序逻辑电路。

解:(1)写方程式:

异步时序电路,时钟方程:CP CP Q CP Q CP ===00112,,。驱动方程:n

n n Q D Q D Q D 001122===,,

(2)求状态方程:D 触发器的特性方程:D Q n =+1将各触发器的驱动方程代入,即得电路的状

态方程:???

??======+++上升沿时刻有效上升沿时刻有效上升沿时刻有效CP

Q

Q 0010011111221

2n

n n n n n Q D Q Q D Q Q D Q (3)计算、列状态表: (4)画状态图、时序图:

?????↑=↑=↑=+++CP Q Q 010

0111121

2n n n

n n n Q Q Q Q Q Q ?????↑

====+++CP ,01111

01

11

2n n n Q Q Q 不变

不变

(5)电路功能:由状态图可以看出,在时钟脉冲CP 的作用下,电路的8个状态按递减规律循环变化,即:000→111→110→101→100→011→010→001→000→…电路具有递减计数功能,是一个3位二进制异步减法计数器。

2、用JK 触发器设计一个4位二进制异步加法计数器 解:

Q 计数脉冲

清零脉冲

3、用74LS161构成十进制计数器。

解:当74LS161计数到Q3Q2Q1Q0=1001时,使0=D L ,为置数创造了条件。当下一个计数脉冲一到,各置数端数据立即送到输出端,预置数端D3D2D1D0=0000。电路如图所示。

CP

Q 3Q 2Q 1Q 0=1001

Q 3Q 2Q 1Q 0=00000123Q Q Q Q L D =

4、用74LS161构成一个十二进制计数器。

5、用74LS163构成一个十二进制计数器。

解:(1)写出状态S N -1的二进制代码。S

N -1=S 12-1=S 11=1011 (2)求归零逻辑013111111,Q Q Q P P P P LD CR N N =====-- (3)画连线图。

6、用集成计数器74163和与非门组成的6进制计数器。

计数脉冲

Q Q Q Q

第六章 脉冲信号的产生与整形

一、填空题

1、脉冲幅度m V 表示脉冲电压变化的 ,其值等于脉冲信号的 和 之差的绝对值。(最大幅度或幅度、最大值、最小值)

2、脉冲周期T 表示两个相邻脉冲的 。(时间间隔)

3、脉冲宽度W T 表示脉冲信号从 到 所需要的时间。(脉冲前沿上升到0.5m V 处、脉冲后沿下降到0.5m V 处)

4、集成555定时器的TH 端,TR 端的电平分别大于DD V 32和DD V 31,

定时器的输出状态是 。(低电平或0)

5、集成555定时器的TH 端,TR 端的电平分别小于DD V 32和DD V 31

定时器的输出状态是 。(高电平或1)

6、多谐振荡电路没有 ,电路不停地在两个 之间转换,因此又称 。(稳定状态、状态、无稳态触发器)

7、设多谐振荡器的输出脉冲宽度和脉冲间隔时间分别为1w t 和2w t ,则脉冲波形的占空比

为 。(2

11

w w w t t t +)

8、在触发脉冲作用下,单稳态触发器从 转换到 后,依靠自身电容的放电作用,又能回到 。(稳态、暂稳态、稳态)

9、用555定时器构成的施密特触发器的回差电压可表示为 。(-+-=?TH TH T U U U ) 10、用555定时器构成的施密特触发器的电源电压为15V 时,其回差电压T U ?为 V 。(5) 二、判断题

1、单稳态触发器只有一个稳定状态。(√)

2、多谐振荡器有两个稳定状态。(╳)

3、在单稳态和无稳态电路中,由暂稳态过渡到另一个状态,其“触发”信号是由外加触发脉冲提供的。(╳)

4、暂稳态持续的时间是脉冲电路的主要参数,它与电路的阻容元件有关。(√)

5、多谐振荡器是一种自激振荡电路,不需要外加输入信号,就可以自动地产生矩形脉冲。(√)

6、单稳态触发器和施密特触发器不能自动地产生矩形脉冲,但可以把其他形状的信号变换成矩形波。(√)

三、选择题

1、表示脉冲电压变化最大值的参数叫(A )。

A 、脉冲幅度

B 、脉冲宽度

C 、脉冲前沿

D 、脉冲后沿 2、表示两个相邻脉冲重复出现的时间间隔的参数叫(A )。 A 、脉冲周期 B 、脉冲宽度 C 、脉冲前沿 D 、脉冲后沿 3、将脉冲信号从脉冲前沿的m V 5.0到后沿的m V 5.0所需要的时间为(B )。 A 、脉冲周期 B 、脉冲宽度 C 、脉冲前沿 D 、脉冲后沿 4、集成555定时器的输出状态有(C )

A 、0状态

B 、1状态

C 、0和1状态

D 、高阻态 5、多谐振荡器能产生(B )

A 、正弦波

B 、矩形波

C 、三角波

D 、锯齿波 6、单稳态触发器的具有(C )功能。

A 、计数

B 、定时、延时

C 、定时、延时和整形

D 、产生矩形波 7、按输出状态划分,施密特触发器属于()触发器。 A 、单稳态 B 、双稳态 C 、无稳态 D 、以上都不对 8、施密特触发器常用于对脉冲波形的(D )。

A 、计数

B 、寄存

C 、延时与定时

D 、整形与变换 9、用555定时器构成的施密特触发器的回差电压T U 可表示为(B )

A 、DD V 21

B 、DD V 31

C 、D

D V 32

D 、DD V

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑电路试题

院系: 专业班级: 学号: 姓名: 座位号: A. 4 B. 3 C. 6 D. 5 7. 下列电路中属于时序逻辑电路的是 【 】 A. 加法器 B. 数据分配器 C. 计数器 D. 译码器 8. 下列关于门电路的使用,描述不正确的是 【 】 A. TTL 与非门闲置输入端可以直接接电源 B. 具有推拉输出结构的TTL 门电路的输出端可以直接并联使用 C. CMOS 或门闲置输入端应接地 D. CMOS 门电路的闲置输入端不允许悬空 9. 为了降低555定时器组成多谐振荡器的振荡频率,外接R 、C 值应为 【 】 A. 同时增大R 、C 值 B. 同时减小R 、C 值 C. 同比增大R 值减小C 值 D. 同比增大C 值减小R 值 10. 若停电数分钟后恢复供电,下列选项中信息能够保持不变的是 【 】 A. ROM B. 动态RAM C. MUX D. 静态RAM 1. 8位D/A 转换器的理论分辨率是_____________________。 2. 64个输入端的编码器按二进制数编码时,输出端的个数是__________________。 3. 变量数相同时,下标编号相同的最大项i M 和最小项i m 的关系是_____________。 4. 图2.1所示集成计数器的模M=_____________________。 图2.1 (题2.4图) 5. 共阳极接法数码显示器需要配用输出 电平有效的译码器。 二、填空题 (每小题2分,共20分)

6. 对于T 触发器,当T=______时,触发器处于保持状态。 7. 逻辑函数C B AB F +=的反函数F 为_____________________。 8. 5个变量的逻辑函数全部最大项有_____________________个。 9. 二进制数()20110.101110转换成十进制数是___________________。 10. 同步RS 触发器的特性方程中,约束条件为RS=0,说明这两个输入信号不能同时为_____________________。 1. 时序逻辑电路中可以没有门电路,但是必须要有触发器。 ( ) 2. 对于二进制正数,反码和补码相同。 ( ) 3. 半加器只能用于对两个1位二进制数相加。 ( ) 4. 多谐振荡器需要输入触发信号才可以输出矩形脉冲。 ( ) 5. 逻辑函数的取值与逻辑变量的取值不同,可以有0、1、2等多种可能。 ( ) 6. 分析组合逻辑电路的目的是要得到逻辑电路的真值表。 ( ) 7. 数字逻辑电路的晶体管和模拟电路的晶体管工作状态相同。 ( ) 8. 同步时序逻辑电路有稳定状态,异步时序逻辑电路没有稳定状态。 ( ) 9. 两个或多个OC 门的输出端可以直接相连,实现线与。 ( ) 10. 可编程阵列逻辑PAL 的与阵列可编程,或阵列不可编程。 ( ) 1. 写出图4.1所示电路表示的逻辑函数关系式; F A C B 图4.1(题4.1) F= _____________________ 2. 画出实现逻辑函数C B A ABC Y +=的门电路图; 3. 由D 触发器和与非门组成的电路如图 4.2所示,试画出Q 端的波形,设电路 初态为 0; A Q 12345CP A Q 图4.2(题4.2) 4. 用卡诺图法将逻辑函数()∑=)15,14,12,10,8,7,5,2,0(m D ,C ,B ,A Y 化成最简 “与或”表达式。 四、综合题 (每小题5分,共20分) 三、判断题(正确的在题号后括号内填写“T ”,错误的填写“F ”) (每小题1分,共10分)

远程西安交通大学17年3月课程考试《数字逻辑电路》作业考核试题7页word

西安交通大学17年3月课程考试《数字逻辑电路》作业考核试题 一、单选题(共 30 道试题,共 60 分。)V 1. 一个16选一的数据选择器,其地址输入(选择控制输入)端有()个。 . 1 . 2 . 4 . 16 标准答案: 2. 同步时序逻辑电路和异步时序逻辑电路比较,其差异在于后者()。 . 没有触发器 . 没有统一的时钟脉冲控制 . 没有稳定状态 . 输出只与内部状态有关 标准答案: 3. 全部的最小项之和恒为()。 . 0 . 1 . 0或1 . 非0非1 标准答案: 4. 用二进制异步计数器从0做加法,计到十进制数178,则最少需要()个触发器。 . 2 . 6 . 7 . 8 . 10 标准答案: 5. 对于两个4位二进制数(3210)、(3210),下面说法正确的是()。 . 如果3>3,则> . 如果3<3,则> . 如果0>0,则> . 如果0<0,则> 标准答案: 6. 半加器的逻辑功能是()。 . 两个同位的二进制数相加 . 两个二进制数相加 . 两个同位的二进制数及来自低位的进位三者相加 . 两个二进制数的和的一半 标准答案: 7. 对于四变量逻辑函数,最小项有()个 . 0 . 1 . 4 . 16

标准答案: 8. 为避免一次翻转现象,应采用()触发器。 . 高电平 . 低电平 . 主从 . 边沿 标准答案: 9. 把一个五进制计数器与一个四进制计数器串联可得到()进制。. 4 . 5 . 9 . 20 标准答案: 10. 将十进制小数转换为二进制数一般采用() . 乘2取余法 . 乘2取整法 . 乘10取余法 . 乘10取整法 标准答案: 11. 下列器件中,属于时序部件的是()。 . 计数器 . 译码器 . 加法器 . 多路选择器 标准答案: 12. 在下列逻辑电路中,不是组合逻辑电路的有( )。 . 译码器 . 编码器 . 全加器 . 寄存器 标准答案: 13. 对于8421码优先编码器,下面说法正确的是()。 . 有10根输入线,4根输出线 . 有16根输入线,4根输出线 . 有4根输入线,16根输出线 . 有4根输入线,10根输出线 标准答案: 14. 十进制整数转换为二进制数一般采用()。 . 乘2取余法 . 乘2取整法 . 乘10取余法 . 乘10取整法 标准答案: 15. 十进制数25用8421码表示为( )。

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

《数字逻辑电路》试题及参考答案

一、填空题(共15空,每空2分,共30分) 1. 二进制数(1110.0111)2对应的等值十六进制数为____E.7_______。 2. 十进制数 -13的8位二进制补码为____11110011________。 3.与普通二进制代码相比,格雷码最大优点是相邻两个代码之间有___1_____位发生变化。 4. 逻辑表达式''=+ +()Y AB C D 的反演式为(())Y A B C D ''''' =+。 5. 若使JK 触发器的J=K ,构成的新触发器称为____T_____触发器。 6. 基本RS 触发器的约束条件是_____RS=0____。 7. 写出两个逻辑变量A 、B 的全部最小项 ,,,AB A B AB A B '''' 。 8. 三态门逻辑符号如下图,写出输出Y 与输入A 和EN '之间的关系 01 A E N Y EN ''=?=?'=?高阻态。 9. 下图所示由T 触发器构成的电路中,初始状态为Q=0,写出在连续4个时钟信号作用下,Q 的4个输出结果依次为___1 0 1 0____。 8题图 9题图 10.如下面真值表所示,写出Y 与A 、B 逻辑表达式的最简与或形式:Y(A,B)=+A B ''。 11.8线-3线优先编码器输入为I 0-I 7,当优先级别最高的I 7有效时,输出210Y Y Y '''=__000__。 12.一个四输入端或非门,使其输出为1的输入变量取值组合有__15___种。 13.四位左移寄存器预置1011,其串行输入端固定接0,在2个移位脉冲作用下,得新的四位数据为__1100__。 14. A/D 转换过程中,量化级越多,电路越复杂,转换精度越__高___。 15. 若10位D/A 转换器的V REF =-10V ,输入数字量最高位为1,其它位均为0,求输出模拟电压值___5V___。 A B Y 0 0 1 0 1 1 1 0 1 1 1 得分

数字逻辑电路复习题1

《数字逻辑电路》复习题 一、填空题(每空2分,共30分) 1.逻辑函数的两种标准形式分_______________,_______________ 2.将2004个“1”异或起来得到的结果是_______________。 3.半导体存储器的结构主要包含三个部分,分别是_______________、_______________、_______________。 4.8位D/A 转换器当输入数字量10000000为5v 。若只有最低位为高电平,则输出电压为_______________v ;当输入为10001000,则输出电压为_______________v 。 5.就逐次逼近型和双积分型两种A/D 转换器而言,_______________的抗干扰能力强,_______________的转换速度快。 6.由555定时器构成的三种电路中,_______________和______________是脉冲的整形电路。 7.与PAL 相比,GAL 器件有可编程的输出结构,它是通过对_______________进行编程设定其_______________的工作模式来实现的,而且由于采用了_______________的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题(共15分) 1. 将逻辑函数 P=AB+AC 写成“与或非”表达式,并用“集电极开路与非门”来实现。 三、分析图3所示电路(15分) 1) 画出A2、A1、A0从000~111连续变化时,Y 的波形图; 2) 说明电路的逻辑功能。 Y A B C =+

四、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(20分)

金陵科技学院数字逻辑电路期末考试试卷

分)20一、选择题(每小题2分,共 。273)中,它的第三位数2 的位权为___B___1.八进制(8 (8) D.B.(64) C.(256)A.(128) 10101010 与它功能相等的函数表达式已知逻辑表达式2. C?AC?BF?AB, _____B____。CF?AB?ABF?A.B.C?AB?AF C?AB?BF..CD 数字系统中,采用____C____可以将减法运算转化为加法运算。3. 码BCD D.补码B.ASCII码C.A.原码 ___B_____其反 映的逻辑关系是。4.对于如图所示波形,D.无法判 断.异或关系C.同或关系A.与关系B____B_____1的结果是连续异或。1985个5. .逻辑概念错误C.不确定D.0B.1 A功能相等的表达式为6. 与逻辑函数___C_____。DC?F?A?B? D?AF??B?CD??C?F?AB.BA.DC?F?ABF?ACDB? D..C为高阻态的逻辑FC=1时,;时,F=7.下列所给三态门中,能实现 C=0AB A

& 。功能的是____A______ F B A & C EN B F B C A A &&EN F B F B A C C EN EN C D 页7 共页1 第卷答案3 数字逻辑电路 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A. 500KHz B.200KHz 50KHz .. 100KHz D D CP Q 。9.下列器件中,属于时序部件的是_____A_____ .多路选择器D C.加法器BA.计数器.译码器 ,”“5.下图是共阴极七段LED数码管显示译码器框图,若要显示字符10 。应为____C______则译码器输出a~g0011011 D.1100011 C. 1011011

数字逻辑电路试卷(附答案)

1.逻辑函数的两种标准形式分别为。 2.将2004个“1”异或起来得到的结果是(0)。 3.半导体存储器的结构主要包含三个部分,分别是(译码器)、(存储阵列)、(控制逻辑)。 4.A/D转换的四个过程是采样、保持、量化和(编码),其中采样脉冲的频率要求至少是模拟信号最高频率的(2)倍。 5.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为(5/128)v;当输入为10001000,则输出电压为(5*136/128)v。 6.就逐次逼近型和双积分型两种A/D转换器而言,(双积分型)的抗干扰能力强,(逐次逼近型)的转换精度高。 7.(61. 5)10 == (3D.8)16 = (10010001.1000)5421BCD; 8.已知某74ls00为2输入4与非门,I OL=22mA,I OH=2mA,I IL=2mA,I IH=40μA,则其低电平输出的扇出系数N OL=(11),其高电平输出的扇出系数N OH=(50); 9.函数的最小项表达式为F=(4.5.7),最大项表达式为(0.1.2.3.6) 10. 根据对偶规则和反演规则,直接写出的对偶式和反函数, Fd =(),=(); 11. 12.已知X=(-17),则X的8位二进制原码为(10001001),其8位二进制补码为(11110111); 13.T' 触发器的次态方程是(Qn+1 = ~Qn); 14.D触发器的次态方程是(); 15.根据毛刺的不同极性,可以将逻辑险象分为0型险象和1型险象,对于一个逻辑表达式,若在给定其它变量适当的逻辑值后,出现F= ()的情形,则存在1型险象;

吉大11春学期数字逻辑电路复习题(专科_含答案)

吉大11春学期《数字逻辑电路》复习题 1、数制与编码 (-21)10 =(979 )10补 (78.8)16=( 120.05 )10 (0.375)10=( 0.011 )2 (-395)10 =( )9补 (65634.21)8=( 6B9C.44 )16 (121.02)16=(10201.0012 )4 (49)10 =( 110001 )2=( 31 )16 (-1011)2 =( 10100 )反码=( 10101 )补码 如果用奇校验传送的数据部分为0111001,则所加校验位应为( 1 )。 2、化简逻辑函数F (A 、B 、C 、D )=∏M (0、2、5、7、8、10、1 3、15)。 答:ˉB ˉD+BD 3、说明同步时序逻辑电路的分析步骤。 ①、写出方程式 ⑤、画状态转换图 ②、写驱动方程 ⑥、画时序波形图 ③、写状态方程 ⑦、分析其功能 ④、填状态方程表 ⑧、检查自启动 4、说明什么是组合逻辑电路。 对于数字逻辑电路,当其任意时刻的稳定输出仅仅取决于该时刻的输入变量的取值,而与过去的输出状态无关,则称该电路为组合逻辑电路,简称组合电路。 5、说明什么是Moore 型时序逻辑电路。 若时序逻辑电路的输出仅仅是电路状态的函数,则称为Moore 型时序逻辑电路。 6、完成下列代码之间的转换: (1)(0101 1011 1101 0111.0111)8421BCD =( 5997.7 )10; (2)(359.25)10=( 0110 1000 1100.01011 )余3; (3)(10101)余3=( 0111 0000 0110 0010 )8421BCD 。 7、试写出下列二进制数的典型Gray 码:101010,10111011。 答:典型格雷码的编码规则为: 1n n i i i G B G B B +=??=⊕? 101010的Gray 码是:111111 10111011的Gray 码是:11100110 8、化简逻辑函数F (A 、B 、C 、D )=∑m (3、4、10、11、12、13、14、15) 答:-A-BD+ABC+CD+AC

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

《数字逻辑电路》复习资料

《数字逻辑电路》复习资料 1. 十六进制数(1DE.58)16转换为二进制数为_________________。 2. TTL 与非门的多余输入端悬空时,相当于输入_______电平。 3. T 触发器的特性方程为:_________________,T ’触发器的特性方程为 _________________。 4. 时序逻辑电路由_____________和存储单元两部分组成。存储单元是由若干个 ________组成。 5. 用反馈置数法得到N进制计数器,确定置数代码是关键。对于同步清零而言, 以________为置数输出代码;对于同步清零而言,以________为置数输出代码。 答案: 1.(111011110.010101)2 2.高 3.n n Q T Q ⊕=+1;n n Q Q =+1 4.组合逻辑电路;触发器 5.N ;N-1 1.八进制(273)8中,它的第三位数2的位权为( ) A. (128)10 B. (64)10 C. (256)10 D. (8)10 2.已知逻辑表达式D C B A F +++=,与它逻辑功能相等的函数表达式是( ) A. D C B A F +++= B. D C B A F +++= C. D C B A F = D. D C B A F += 3.BC A F +=的对偶式为( ) A. BC A + B. )(C B A +? C. C B A ++ D. ABC 4.下列四个器件中,哪一项不可以用来作为数字电路中的基本开关单元( ) A. 二极管 B. 放大器 C. 三极管 D. 场效用管 5.对于n 位二进译编码器而言,它的输入和输出信号数目为( ) A. 2n 和n B. n 和2n C. n 和n D. 1 2 n +和n 6.对于下图所示波形,其中A 、B 是输入,C 是输出,其反应的输入、输出之间的逻辑关系是( ) B C A

《数字逻辑电路》期末考试试题

《数字逻辑电路》期末考试试题 一、单项选择题(本大题共15小题,每小题2分,共30分) 1.下列数中最小的数是 A .(1000.1)2 B .(10.3)8 C . (8.3)10 D .(8.3)16 2.与(77)8相等的8421BCD 码数是 A .(01110111)85421BCD B .(01100011)8421BCD C .(10010011)8421BC D D .(10010110)8421BCD 3.已知输入A 、B 和输出F 的波形如图1.1所示,由此判断F 与A 、B 的逻辑关系是 A .或非 B .异或 C .与非 D .同或 4.在下列电路中,能实现C AB F +=的电路是 5.函数C B A C B D C B A F ?+++=)(的反函数是 A .[] C B A C B D C B A F +++++=))(( B .[]C B A C B D C B A F +++++=))(( C .[]C B A C D B C B A F ++++++= D . [] C B A C B D C B A F +++++=)( 6.与函数AD D C C A F ++=相等的函数式是 A .C A F = B .D C F = C .A D C F += D .AD F = 7.已知函数C B A F ++=,问使函数0=F 的输入组合是 A B C A B C A B C D A B F 图1.1

A .ABC=001 B .ABC=110 C .ABC=000 D .ABC=010 8.逻辑项CD B A ,其逻辑相邻项的是 A .BCD A B .D B C A C . D C AB D .D C B A 9.逻辑函数D B A D AB D BC CD F +++=的最简与或式是 A .F CD BD =+ B .F CD BD =+ C .F BD CD =+ D .F BD CD =+ 10.逻辑函数C B C A F +?=,其约束条件为0=AB ,它的最简与或非式是 A . F A C B C =+++ B . F A C B C =+++ C .F A C B C =+++ D .F A C B C =+++ 11.函数∑= )15,13,12,9,8,7,5,1(F 的最简与或式是 A .D A C B D C F ?++= B . D B D C C A F ?++= C .BD D C C A F ++= D .AD C B D C F ++= 12.D 触发器置1,其激励端D 的取值为 A .0=D B .1=D C .n Q D = D .1 +=n Q D 13.T 触发器组成电路如图1.2所示,它完成的功能是 A .T 触发器 B .JK 触发器 C .D 触发器 D .RS 触发器 14.n 位DAC 电路的精度为 A .121-n B .12 1-n C .n 2 D .12-n 15.555定时电路当R d =0时,其输出状态为 A .保持 B .对输出无影响 C .1 D .0 二、填空题(本大题共5小题,每小题2分,共10分) 16.余3BCD 码0111表示的十进制数是 。 17、1⊕⊕=A A F = 。 A 图1.2

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

数字逻辑电路(A)》复习题逻辑代数基础

逻辑代数基础 一、选择题(多项选择) 1. 以下表达式中符合逻辑运算法则的是 。 ·C =C 2 +1=10 C.0<1 +1=1 2. 逻辑变量的取值1和0可以表示: 。 A.开关的闭合、断开 B.电位的高、低 C.真与假 D.电流的有、无 3. 当逻辑函数有n 个变量时,共有 个变量取值组合 A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 =A B +BD+CDE+A D= 。(加一个盈余项AD ) A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 6.逻辑函数F=)(B A A ⊕⊕ = 。 C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,可将F 中的 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” E.常数不变 8.A+BC= 。 A .A + B + C C.(A +B )(A +C ) +C 9.在何种输入情况下,“与非”运算的结果是逻辑0。 D A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果是逻辑0。 A .全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 二、判断题(正确打√,错误的打×) 1. 逻辑变量的取值,1比0大。( × )。 2. 异或函数与同或函数在逻辑上互为反函数。( √ )。 3.若两个函数具有相同的真值表,则两个逻辑函数必然相等。( × )。

数字逻辑电路期末考试试卷及答案

请浏览后下载,资料供参考,期待您的好评与关注! 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F + +=, 与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装 请浏览后下载,资料供参考,期待您的好评与关注!

《数字逻辑电路》试题及答案

、填空题( 1-5小题每空 1分, 6-10 小题每空 2分,共20分) 1.(16.25) 10 = ( _____________________ ) 2 = ( ) 8 = ( ) 16 2.三态门输出的三种状态分别为:、和。 3.基本 RS 触发器的约束条件是______________ 。 4.多谐振荡器是一种波形_______ 电路,它没有稳态,只有两个_______ 。 5.把 JK 触发器改成 T 触发器的方法是____________ 。 6.F(A,B,C,D) A (B C (D E) ) 的对偶式为________________________________ 7.十进制数( -12 )的补码形式为_____________________ 。 8.某信号采集系统要求一片 A/D 转换器集成芯片在 1S内对 16 个热电偶的输出电压分时进行 A/D 转换。已知热电偶输出电压范围为 0~0.025V(对应 0~450 o C温度范围),需要分辨的温度为 0.1 o C,试问选用位的 A/D 转换器。 9.RAM 存储器地址线 4 条,数据线 8 条,其存储容量为_______ 。 10.写出下图有 ROM 构成的组合逻辑函数式 Y2= ____________________ 。 二、逻辑函数化简证明题(共 3题,共 20 分) 1.(6 分)用公式法化简下面逻辑函数为最简与或式F(A,B,C) (ABC) (AB ) 2.(6 分)证明下面逻辑恒等式,方法不限。(A C )(B D)(B D ) AB BC 3.(8 分)用卡诺图法求下面逻辑函数的反函数,用最简与或式表示。F(A,B,C,D) ABC ABD C D ABC ACD ACD

数字逻辑电路第二版刘常澍 习题解答

数字逻辑电路第二版刘常澍习题解答 第1次: 1-14:(3)、(4);1-15:(3)、(4);1-18:(1); 1-22:(3);1-23:(2) 1-14 将下列带符号数分别表示成原码、反码和补码形式。 (3) (?1111111) 2 (4) , (?0000001) 2 ; 解: (3) (?1111111) 2 =() 原 = () 反 = () 补 (4) (?0000001) 2 =() 原 = () 反 = () 补 1-15 将下列反码和补码形式的二进制数变成带符号的十进制数 补; 补 解: (3) 补=(-128) 10 (4) 补 =(-27) 10 1-18列出下述问题的真值表,并写出逻辑式。 (1)有A、B、C三个输入信号,如果三个输入信号均为0或其中一个为1时,输出信号Y=1,其余情况下,输出Y=0。 解: 逻辑式:C B A C B A C B A C B A Y+ + + = 1-22 求下列逻辑函数的反函数(3) C A D C BC D A Y? + =) ( 1-23 求下列逻辑函数的对偶式(2) D BC B A D B A BC Y? + + + + =) ( 第2次:1-21(5)(8) 1-21 用代数法将下列函数化简为最简与-或式。 ABC ACD ABC CD B A ACD BC ACD B A BC AD C B A BC AD C B A B A AB BC AD C B A C B A B A Y + = + + + = +? + = + ? ? + = + ? ? + + = + + ? + = ) ( ) ( ) ( ) ( ) ( ) ( ) )( (

数字逻辑电路期末考试试卷及答案

XXXX 大学2007-2008学年第一学期 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 毛 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断

5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为___C_____。 A . D C B A F +++= B . D C B A F +++= C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz C . 100KHz D .50KHz

奥鹏西交16年《数字逻辑电路》作业考核试题

西交16年《数字逻辑电路》作业考核试题 一、单选题(共 30 道试题,共 60 分。) 1. 通常寄存器应具有()功能。 A. 存数和取数 B. 清零和置数 C. A和B都有 [正确]D. 只有存数、取数和清零,没有置数。 满分:2 分 2. 用二进制异步计数器从0做加法,计到十进制数178,则最少需要()个触发器。 A. 2 B. 6 C. 7 [正确]D. 8 E. 10 满分:2 分 3. 数字系统中,采用( )可以将减法运算转化为加法运算。 A. 原码 B. ASCII码 [正确]C. 补码 D. BCD码 满分:2 分 4. 奇偶校验码满足()。 A. 能纠错的要求 [正确]B. 发现单错的能力 C. 对码的位序有特定要求 D. 传输正确的代码的校验和必为零 满分:2 分 5. 将十进制小数转换为二进制数一般采用() A. 乘2取余法 [正确]B. 乘2取整法 C. 乘10取余法 D. 乘10取整法 满分:2 分 6. 符合六变量m5的相邻最小项,有下列()说法成立。 A. 共有5 个相邻最小项 B. m0、m4、m7、m13是它的相邻项 [正确]C. 共有6 个相邻最小项 D. m4、m21、m13、m38是它的相邻项 满分:2 分 7. 一位8421BCD计数器,至少需要()个触发器 A. 3 [正确]B. 4

C. 5 D. 10 满分:2 分 8. 全部的最小项之和恒为()。 A. 0 [正确]B. 1 C. 0或1 D. 非0非1 满分:2 分 9. 要想把串行数据转换成并行数据,应选()。 A. 并行输入串行输出方式 B. 串行输入串行输出方式 [正确]C. 串行输入并行输出方式 D. 并行输入并行输出方式 满分:2 分 10. 对于两个4位二进制数A(A3A2A1A0)、B(B3B2B1B0),下面说法正确的是()。[正确]A. 如果A3>B3,则A>B B. 如果A3<B3,则A>B C. 如果A0>B0,则A>B D. 如果A0<B0,则A>B 满分:2 分 11. 在状态化简中,判断状态等效与状态相容时不同点是()。 [正确]A. 传递性 B. 次态应满足的条件 C. 隐含表的作用 D. 最大等效类与最大相容类的的确定 满分:2 分 12. 标准与或式是由()构成的逻辑表达式 A. 与项相或 [正确]B. 最小项相或 C. 最大项相与 D. 或项相与 满分:2 分 13. 对于四变量逻辑函数,最小项有()个 A. 0 B. 1 C. 4 [正确]D. 16 满分:2 分 14. 组合逻辑电路()。 A. 具有记忆功能 [正确]B. 没有记忆功能 C. 有时有记忆功能,有时没有 D. 以上都不对

相关文档
相关文档 最新文档