文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术试卷1

数字电子技术试卷1

数字电子技术试卷1
数字电子技术试卷1

《数字电子技术及应用》试卷1

一、填空题 (共20分)

1. 数制转换: (8F)16 = ( 143 )10= ( 10001111 )2 = ( 217 )8。

2. 有一数码10010011,作为自然二进制数时,它相当于十进制数 147 ,作为8421BCD 码时, 它相当于十进制数 93 。

3.门电路及由门电路组合的各种逻辑电路种类很多、应用广泛,但其中最基本的三种门电路是_与 门、__或 _门和_ 非_门。

4. 在TTL 门电路的一个输入端与地之间接一个10k Ω电阻,则相当于在该输入端输入 高 电平; 在CMOS 门电路的输入端与电源之间接一个1k Ω电阻,相当于在该输入端输入 高 电平。

5. 能够实现“线与”的TTL 门电路叫 OC 门 ,能够实现“线与”的CMOS 门电路叫 OD 门 。

6.主从触发器可以避免 空翻 现象的发生。

7.异或门的逻辑功能是两输入变量相异输出为1,相同输出为0。

8. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出

01234567Y Y Y Y Y Y Y Y 应为 10111111 。

9.若使JK 触发器直接置1,必须使S D = 0,R D = 1 ,而与输入信号J 、K 及 时钟脉冲 信号无关。

10.单稳态触发器有 1 个稳态, 1 个暂稳态。

二、选择题(10分)

1.表示最大3位十进制数,需要( C )位二进制数。

A. 8 B .9 C .10 D .12

2.下列选项不属于组合逻辑电路的是( C )

A . 译码器

B .编码器

C .寄存器

D .显示器

3.标准与或式是由( B )构成的逻辑表达式。

A .与项相或

B .最小项相或

C .最大项相与

D .或项相与

4.( B )门的输出端可直接相连,实现线与。

A .一般TTL 与非门

B .集电极开路TTL 与非门

C .一般CMOS 与非门

D .一般TTL 或非门

5.若将十进制数15存入一个移位寄存器中,所需的移位脉冲个数为( B )。

A .3个

B .4个

C .5个

D .6个

6.下列触发器中,( A )不可作为同步时序逻辑电路的存储元件。

A .基本RS 触发器 B. D 触发器

C .JK 触发器 D. T 触发器

7.八路数据选择器应有( B )个选择控制端。

A .2

B .3

C .6

D .8

8.要使JK 触发器在时钟脉冲作用下的次态与现态相反,J 、K 的取值应为( B )。

A .00

B .11

C .01

D .01或10

9.逻辑函数F=A ⊕B+A ⊙B 化简后的结果为( D )。

A .F=AB+A

B B .F=AB+AB

C .F=0

D .F=1

10.可使逻辑函数AB+CA+BC 取值为1的A 、B 、C 变量组合为( B )

A .010

B .011

C .001

D .100

三、化简逻辑函数(15分)

1. 用代数法化简函数C B BD ABC D BC ABD D ABC Y +++++=

= B

2.用卡诺图法化简函数,写出最简与或表达式。

()()()∑∑+=6,114,13,11,9,8,5,3,,,d m D C B A Y .

解答 C B A D BC D B D C Y +++=

四、用四选一数据选择器74LS153设计一个3变量的多数表决电路,画出接线图。(15分)

(过程略)接线图如上

五、分析图示时序逻辑电路,列出状态表,画出状态转换图和时序图。(设初始状态皆为0。)(20分)

(过程略,时序图如上图示)

六、试用CT74LS160构成25进制计数器,画出接线图。(10分)

(接线图如上图示)

七、写出由ROM 所实现的逻辑函数的表达式。(10分)

; ;21ABC C B A BC A Y ABC C B A C B A C B A Y ++=+++=

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术(模拟试题1)

1、(110.101)2=()10,(12.7)10=()2 2、构成组合逻辑电路的基本逻辑单元是(),构成时序逻辑电路的基本逻辑单元电路是()。 3、T T L反相器的电压传输特性曲线中,转折区中点对应的输入电压称为()电压。 4、当七段显示译码器的输出为高电平有效时,应选用共()极数码管。 5、触发器移步输入端为低电平有效时,如果异步输入端R D=1,S D=0,则触发器直接置成()状态。 6.数字电路中,常用的脉冲波形产生电路是()器。 7、A/D和D/A转换器的转换精度指标,可采用()和()两个参数描述。 8、几个集电极开路与非门(O C门)输出端直接相连,配加负载电阻后实现()功能。 二、(15%) 1、将逻辑函数化为最小项之和形式:Y=A B C+A C+B C 2、用公式法化简逻辑函数: Y1=A C+A D+C D,Y2=A D+A D+A B+A C+B D+A C E F+B E F+D E F G; 3、用卡诺图化简逻辑函数: Y1=A B C+A B D+A C D+C D+A B C+A C D,Y2(A B C)=∑(m0,m1,m2,m4), 约束条件:m3+m5+m6+m7=0

1、试说明能否将与非门、或非门、异或门分别当作反响起来使用? 如果可以,各个门电路的输入端该如何连接?(利用两个输入一个输出的逻辑符号图分别表示出各门电路作为反向器使用时对应输入端的接法)。 2、4位输入的倒T型电阻网络D/A转换器,V R E F=-8V,在R f=R的条 件下,输入数字量d3d2d1d0=1010时,输出电压U0的数值是多少? 四、设计电路 1、举重比赛中有A、B、C三名裁判,A为主裁,当两名或两名以上 裁判(必须包括A在内)认为运动员上举杠铃合格,才能认为成功。 (1)、要求列真值表,用与非门电路设计该逻辑电路。 (2)、用74L S138芯片配合适当的门电路设计该逻辑电路

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试题及答案(1)

5.某地址译码电路如图2所示,当输入地址变量 A7-A0的状态分别为什么状态 时,丫1、丫6分别才为低电平(被译中) 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 ________________ 和 _______________ 两分组成。 2. 十进制数(56) 10转换为二进制数为 ____________ 和十六进制数为 __________ 3. 串行进位加法器的缺点是 _________ ,想速度高时应采用 ____________ 加法器< 4. 多谐振荡器是一种波形 _________ 电路,它没有稳态,只有两个 ______________ 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M ________________ 二、化简、证明、分析综合题: 1. 写出函数F (A,B,C,D) = A B C D E 的反函数 2. 证明逻辑函数式相等: BC D D(B C)(AD B) B D 3. 已知逻辑函数 F= E( 3,5,8,9 , 10, 12) +E d(0,1,2) (1) 化简该函数为最简与或式: (2) 画出用两级与非门实现的最简与或式电路图: 4. 555定时器构成的多谐振动器图1所示,已知R 1=1K Q , R 2=8.2K Q , C=0.1卩F 试求脉冲宽度T ,振荡频率f 和占空比q 。 ) 级 班 ( 业 专 M As As 扣 As

6?触发器电路就输入信号的波形如图 3 所示,试分别写出D 触发器的Q 和Q1 的表达式,并画出其波形。 Q n+1= 7.已知电路如图4所示,试写出: ① 驱动方程; ② 状态方程; ③ 输出方程; ④ 状态表; ⑤ 电路功能。 二、设计题:(每10分,共20分) 1 ?设计一个三变量偶检验逻辑电路。当三变量 A B C 输入组合中的“ T 的 个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图 2 .试用74161、3-8译码器和少量门电路,实现图 5所示波形V01 VO2 其中CP 为输入波形。要求: 1 A Rd O E 營 _TLnJTTLTL D= J - Q i =

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术试题1

数字电子技术基础试题1 一、填空题(请将答案填在相应的答题线上。每空1分,共26分) 1.将十进制数()1043.375用二进制数表示为 ,用十六进制数表示为 。 2.二进制数()21101-的原码为 ,反码为 ,补码为 。 3.格雷码的特点为 ,()1049用8421BCD 码表示为 。 4.按触发信号触发方式的不同,可把触发器分为 、 、 三种类型。 5.PROM 是指 ,SRAM 是指 , CPLD 是指 ,GAL 是指 ,DAC 是指 。 6.有一逻辑表达式Y A BC =+,则其反演式为 ,对偶式为 。 7.图1-1所示的门电路的名称是 ,该门电路在 的情况下导通,导 通时输出Y = 。 图1-1V I v o v 图1-2 EN' () a () b I v o v 8.试指出图1-2所示各电路符号或电路的名称:(a ) ,(b ) 。 9.JK 触发器的特性方程为 。 10. 通过不同的外围连接555定时器可以组成 、 、 等电路。

二、单项选择题(从下列各小题的四个备选答案中,选出一个正确答案,并将其代号填在答题线上。每小题3分,共24分) 1.下列逻辑等式,不正确的是 。 A .A A B A += B .A A'B B += C .AB AB'A += D .()A A B A += 2.把逻辑函数式Y AB'C A'B C'=+++化成最简形式,可得 。 A .Y A' B =+ B .Y B C'=+ C .Y A'C'=+ D .1Y = 3.下列函数式中,是最小项之和形式的为 。 A .(),,Y A B C A B'C =+ B .(),,Y A B C A'B'C'AB'C A'BC'=++ C .()(),,Y A B C ABC A'BC''=+ D .(),,,Y A B C D ACD A'C'D ABD'=++ 4.可以将输出端直接并联实现“线与”逻辑功能的门电路是 。 A .三态输出的门电路 B .推拉式输出结构的TTL 门电路 C .互补输出结构的CMOS 门电路 D .集电极开路输出的TTL 门电路 5.在下列电路中,属于时序逻辑电路的是 。 A .编码器 B .译码器 C . 计数器 D .数据选择器 6.对于输入输出均为低电平有效的8线-3线优先编码器74HC148,已知'' 07 ~I I 优先级别依次增大,当它的输出端'''210010Y Y Y =时,它的输入端'''''''' 01234567I I I I I I I I 可能是 。 A .10011111 B . 10011011 C .11011001 D . 11111001 7.为了把串行输入的数据转换为并行输出的数据,可以使用 。 A .移位寄存器 B .寄存器 C .计数器 D .存储器 8.对图2-1所示的电路,下列陈述错误的是 。 A .当A =0, B =1时,Y =1 B .当A =1,B =0时,Y =1 C .当A = B =0时,Y =0 D .当A = B =1时,Y =1 三、化简题(应用卡诺图化简法把下列逻辑函数化为最简与或形式,共5分) ()()(),,,3,5,6,7,100,1,2,4,8Y A B C D m d =+∑ 图2-1 A B Y

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路模拟试题1

数字电子技术模拟试题一 一、填空题(每空1分,共20分) 1、逻辑函数的化简方法有_________和____________。 2、(35.75)10=( )2 = ( )8421BCD 。 3、表示逻辑函数功能的常用方法有_________、_________卡诺图等。 4、组合电路由________________构成,它的输出只取决于 _________________而与原状态无关。 5、不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 6、译码器,输入的是___________输出的是___________。 7、一个4选1的数据选择器,应具有_____个地址输入端______个数据输入端。 8、时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 9、移位寄存器不但可_________ ,而且还能对数据进行 _________。 10、OC 门的输出端可并联使用,实现________功能;三态门可用来实现______________。 二、选择题(每题2分共20分) 1、是8421BCD 码的是( )。 A 、1010 B 、0101 C 、1100 D 、1101 2、和逻辑式BC A A + 相等的是( )。 A 、ABC B 、1+BC C 、A D 、BC A + 3、二输入端的或非门,其输入端为A 、B ,输出端为Y,则其表达式 Y= ( )。 A 、A B B 、AB C 、B A + D 、A+B 4、一个T 触发器,在T=1时,加上时钟脉冲,则触发器( )。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

数字电子技术模拟试题1

西南交通大学数字电子技术学期考试试题一 一. 简答填空题(共20分,第6小题每空2分,其它每空1分) 1. 某数字信号的逻辑值为0111010,设高电平电压为5V ,低电平电压为0V 。试绘出该信号的数字波形。 波形: 2. 已知某时序电路的状态转换表如下,如果初态为S 0,输入序列为X=110101101时,则输出序列为 。 3. 写出下图所示各门电路的输出状态(0或1)。已知V IH =3.6V ,V IL =0.4V ,图(a )、(b )是TTL 门,图(c )、(d )是CMOS 门。 4. 一个存储容量为256K ×8的存储器,地址线有 条,数据线有 条。 5. 如要将一个最大幅度为5.1V 的模拟信号转换为数字信号,要求输入每变化20mv ,输出信号的最低位(LSB)发生变化,应选用 位A/D 转换器,其分辨率为 %。 次态/输出

6. 四个电路输入V I 、输出V O 的波形如图所示,试简答分别实现下列功能的最简电路类型(不必画出电路)。 7. 写出下图所示PLD 的输出F 1、F 2逻辑表达式。 二. 逻辑代数和组合逻辑 1. 公式法化简下列函数为最简与或式。(4分) BD C D A B A C B A D C B A F ++++=),,,( (a ) F 1= F 2=

2. 分析以下组合电路的功能,要求写出图示电路的逻辑表达式(3分),列出其真值表(2分),并说明电路的逻辑功能(2分)。 三. 用或非门设计一个组合电路。其输入为8421BCD码,输出L当输入数能被4整除时为1,其他情况为0。(0可以被任何整数整除,要求有设计过程,给出电路图) (1)建立真值表(3分) (2)写出函数的最小项表达式(3分) (3)化简函数表达式(4分) (4)用或非门实现。(4分) 四. 已知时序电路如图所示。 1.请写出各触发器的驱动方程和次态方程。(5分) 2.画出电路的状态(Q1Q0)转换图。(5分) CP

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

数字电子技术试卷1

《数字电子技术及应用》试卷1 一、填空题 (共20分) 1. 数制转换: (8F)16 = ( 143 )10= ( 10001111 )2 = ( 217 )8。 2. 有一数码10010011,作为自然二进制数时,它相当于十进制数 147 ,作为8421BCD 码时, 它相当于十进制数 93 。 3.门电路及由门电路组合的各种逻辑电路种类很多、应用广泛,但其中最基本的三种门电路是_与 门、__或 _门和_ 非_门。 4. 在TTL 门电路的一个输入端与地之间接一个10k Ω电阻,则相当于在该输入端输入 高 电平; 在CMOS 门电路的输入端与电源之间接一个1k Ω电阻,相当于在该输入端输入 高 电平。 5. 能够实现“线与”的TTL 门电路叫 OC 门 ,能够实现“线与”的CMOS 门电路叫 OD 门 。 6.主从触发器可以避免 空翻 现象的发生。 7.异或门的逻辑功能是两输入变量相异输出为1,相同输出为0。 8. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为 10111111 。 9.若使JK 触发器直接置1,必须使S D = 0,R D = 1 ,而与输入信号J 、K 及 时钟脉冲 信号无关。 10.单稳态触发器有 1 个稳态, 1 个暂稳态。 二、选择题(10分) 1.表示最大3位十进制数,需要( C )位二进制数。 A. 8 B .9 C .10 D .12 2.下列选项不属于组合逻辑电路的是( C ) A . 译码器 B .编码器 C .寄存器 D .显示器 3.标准与或式是由( B )构成的逻辑表达式。 A .与项相或 B .最小项相或 C .最大项相与 D .或项相与 4.( B )门的输出端可直接相连,实现线与。 A .一般TTL 与非门 B .集电极开路TTL 与非门 C .一般CMOS 与非门 D .一般TTL 或非门 5.若将十进制数15存入一个移位寄存器中,所需的移位脉冲个数为( B )。 A .3个 B .4个 C .5个 D .6个 6.下列触发器中,( A )不可作为同步时序逻辑电路的存储元件。 A .基本RS 触发器 B. D 触发器 C .JK 触发器 D. T 触发器 7.八路数据选择器应有( B )个选择控制端。 A .2 B .3 C .6 D .8 8.要使JK 触发器在时钟脉冲作用下的次态与现态相反,J 、K 的取值应为( B )。 A .00 B .11 C .01 D .01或10

相关文档
相关文档 最新文档