文档库 最新最全的文档下载
当前位置:文档库 › 北邮模拟CMOS集成电路设计实验报告

北邮模拟CMOS集成电路设计实验报告

北邮模拟CMOS集成电路设计实验报告
北邮模拟CMOS集成电路设计实验报告

题目:模拟CMOS集成电路设计

姓名

学院

专业

班级

学号

班内序号

实验一:共源级放大器性能分析

一、实验目的

1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法;

2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真;

3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线;

4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响

二、实验要求

1、启动synopsys,建立库及Cellview文件。

2、输入共源级放大器电路图。

3、设置仿真环境。

4、仿真并查看仿真结果,绘制曲线。

三、实验结果

1、电路图

2、仿真图

四、实验结果分析

器件参数:

NMOS管的宽长比为10,栅源之间所接电容1pF,Rd=10K。

实验结果:

输入交流电源电压为1V,所得增益为12dB。

由仿真结果有:gm=496u,R=10k,所以增益Av=496*10/1000=4.96=13.91 dB 实验二:差分放大器设计

一、实验目的

1.掌握差分放大器的设计方法;

2.掌握差分放大器的调试与性能指标的测试方法。

二、实验要求

1.确定放大电路;

2.确定静态工作点Q;

3.确定电路其他参数。

4.电压放大倍数大于20dB,尽量增大GBW,设计差分放大器;

5.对所设计电路进行设计、调试;

6.对电路性能指标进行测试仿真,并对测量结果进行验算和误差分析。

三、实验结果

(表中数据单位dB) ,R单位:kΩ

随着R的增加,增益也增加。但从仿真特性曲线我们可以知道,这会限制带宽的特性,W/L 增大时,带宽会下降。为保证带宽,选取W/L=30,R=30K的情况下的数值,保证了带宽,可以符合系统的功能特性,实验结果见下图。

1.电路图

2.幅频特性曲线

实验三:电流源负载差分放大器设计

一、实验目的

1.掌握电流源负载差分放大器的设计方法;

2.掌握差分放大器的调试与性能指标的测试方法。

二、实验要求

1.设计差分放大器,电压放大倍数大于30dB;

2.对所设计的电路进行设计、调试;

3.对电路性能指标进行测试仿真,并对测量结果进行验算和误差分析。

三、实验原理

电流镜负载的差分对

传统运算放大器的输入级一般都采用电流镜负载的差分对。如上图所示。NMOS器件M1和M2作为差分对管,P沟道器件M4,M5组成电流源负载。电流0I 提供差分放大器的工作电流。如果M4和M5相匹配,那么M1电流的大小就决定了M4电流的大小。这个电流将镜像到M5。

如果V GS1=V GS2,则Ml和M2的电流相同。这样由M5通过M2的电流将等于是I OUT为零时M2所需要的电流。如果V GS1>V GS2,由于I0=I D1+I D2,I D1相对I D2要增加。I D1的增加意味着I D4和I D5也增大。但是,当V GS1变的比V GS2大时,I D2应小。因此要使电路平衡,I OUT必须为正。输出电流I OUT等于差分对管的差值,其最大值为I0。这样就使差分放大器的差分输出信号转换成单端输出信号。反之如果V GS1

假设M1和M2差分对总工作在饱和状态,则可推导出其大信号特性。描述大信号性能的相应关系如下:

式(7-1)中,V ID表示差分输入电压。

上面假设了M1 和M2 相匹配。将式(7-1)代入(7-2)中得到一个二次方程,可得出解。

上图是归一化的M1 的漏电流与归一化差分输入电压的关系曲线,也即是CMOS差分放大器的大信号转移特性曲线。

该放大器的小信号特性参数等效跨导

从图2可以看出,在平衡条件下,M2和M5的输出电阻分别为:

于是该放大器的电压增益为:

四,实验结果

(表中数据单位:dB)

选择nmos(w/L)=70,pmos(w/L)=70数据作为结果:

由结果曲线可知,此放大器的使用频率范围需要严格控制,当f增大到一定值时,增益下降速率很快。

1.电路图

2.幅频特性曲线

五、实验分析

本次实验是在实验二的基础上进行修改调试的,电压增益为37.35dB ,电压的理论增益公式为

电源电压的设计需要合适的范围,既不能太小,也不能太大。过小会使得场效应管不能进入到饱和区,过大会使得此放大器的输出摆幅过小,我们的电路设计中选择电源电压为3V ,可以满足实验要求。

实验六:两级运算放大器设计

一、实验目的

熟悉软件的使用,了解Candwnce 软件的设计过程。掌握电流镜的相关知识和技术,设计集

成电路实现所给要求。

二、实验要求

单级放大器输出对管产生的小信号电流直接流过输出电阻,因此单级电路的增益被抑制在输出对管的跨导与输出阻抗的乘积。在单级放大器中,增益是与输出摆幅相矛盾的。要想得到大的增益我们可以采用共源共栅结果来极大的提高出阻抗的值,但是共源共栅中堆叠的MOS 管不可避免的减少了输入电压的范围。因为多一层管子至少增加一个对管子的过驱动电压。这样在共源共栅结构的增益与输出电压矛盾。为了缓解这种矛盾引入两级运放,在两级运放中将这两个点在不同级实现。如本设计中的两级运放,大的增益靠第一级与第二级级联而组成,而大的输出电压范围靠第二级的共源放大器来获得。

设计一个COMS 两级放大电路,满足以下指标: AV=5000V/V (74dB ) VDD=2.5V VSS=-2.5V GB=5MHz CL=10pf SR>10V/us

VOUT 范围=±2V ICMR=-1~2V Pdiss<=2mW 相位裕度:60°

三、实验内容

确定电路的拓扑结构:

)

r ||(r g A o3o2m0,2v

图中有多个电流镜结构,M5,M8组成电流镜,流过M1的电流与流过M2电流I D1,2=I D3,4=1/2*I D5,同时M3,M4组成电流镜结构,如果M3和M4管对称,那么相同的结构使得在x ,y 两点的电压在Vin 的共模输入范围内不随着Vin 的变化而变化,为第二极放大器提供了恒定的电压和电流。如图所示,Cc 为引入的米勒补偿电容。

利用表1、表2中的参数

计算得到

第一级差分放大器的电压增益为:

第二极共源放大器的电压增益为

所以二级放大器的总的电压增益为

相位裕量有

要求60°的相位裕量,假设RHP 零点高于10GB 以上

所以即

由于要求的相位裕量,所以

可得到

=2.2pF

因此由补偿电容最小值2.2pF ,为了获得足够的相位裕量我们可以选定Cc=3pF

考虑共模输入范围:

在最大输入情况下,考虑M1处在饱和区,有

(4)

在最小输入情况下,考虑M5处在饱和区,有

(5)

111121

180tan (

)tan ()tan ()60M GB GB GB

p p z ---Φ=±---= 11102

tan ()tan ()tan (0.1)120v GB

A p ---++=102

tan (

)24.3GB

p -=2 2.2p GB ≥622.2()

m m L c g g

C C >60 62

62

10()10m m m m c c g g g g C C >?>2.20.2210L

c L

C C C >

=3131(max)(max)DD SG n IC n TN IC DD SG TN V V V V V V V V V V --≥--?≤-+1515(min)(min)IC SS GS Dsat IC SS GS Dsat V V V V V V V V --≥?≤++

而电路的一些基本指标有

(6)

(7

(8) (9)

CMR:

正的CMR

(10)

负的CMR

(12)

由电路的压摆率

得到

=(3*10-12)()10*106)=30μA(为了一定的裕度,我们取。)则

可以得到,

下面用ICMR 的要求计算(W/L)3

11/1

所以有==11/1

,GB=5MHz ,我们可以得到

即可以得到

1

1m v C g p A C =-6

2m L g p C =-6

1m C g z C =

1

m C g GB C =

in

31()()

DD T T V V V +(最大)=V 最大最小in

15()()

SS T DS V V V ++(最小)=V 最大饱和5

d C I SR C =

5

d I 40iref A μ=1,23,45/220d d d I I I A

μ===53'2

331()()[]DD SG TN I W

L K V V V =-+?

3(

)W L 4

()W

L 1

m C g GB C =

612

1510231094.2m g s πμ-=????=2m1

12'

1g (/)(/)2/12N W L W L K I ==?

用负ICMR 公式计算由式(12)我们可以得到下式

如果的值小于100mv ,可能要求相当大的

,如果小于0,

则ICMR 的设计要求则可能太过苛刻,因此,我们可以减小或者增大来解决这个问题,我们为了留一定的余度我们等于-1.1V 为下限值进行

计算

则可以得到的进而推出

即有

为了得到60°的相位裕量,的值近似起码是输入级跨导的10倍(allen

书p.211例6.2-1),我们设,为了达到第一级电流镜负载(M3和M4)的正确镜像,要求,图中x ,y 点电位相同

我们可以得到

进而由

我们可以得到直流电流

同样由电流镜原理,我们可以得到

5Dsat V 15(min)IC SS GS Dsat V V V V =++5DS V 5(/)W L 5

Dsat V

5I 5

(/)W L (min)IC V 1

5

2

511

(min)Dsat IC TN SS

I V V V V β=---()5Dsat V 555'2552(/)()Dsat S W L K V ==

(I )

11/1?58(/)(/)11/1W L W L =?6

m g 1m g 6110942m m g g s μ==46SG SG V V =6

64

4

(/)(/)64/1m m g W L W L g =

=6m g =22m6m6

67

''6666

g g 113.72(/)2d d I I A K W L K S μ====7

755

(/)(/)32/1

d d I W L W L I ==

电路结构:

最基本的 COMS 二级密勒补偿运算跨导放大器的结构如图所示。主要包括四部分:第一级输入级放大电路、第二级放大电路、偏置电路和相位补偿电路。

两级运放电路图

相位补偿:

电路有至少四个极点和两个零点,假定 z2、p3、p4 以及其它寄生极点都远大于 GBW,若不考虑零点z1,仅考虑第二极点p2,那么这是一个典型的两极点决定的系统。为保证系统稳定,通常要求有 63°左右的相位裕度,即保持频率阶跃响应的最大平坦度以及较短的时间响应。但在考虑 z1之后,这个右半平面(RHP)的零点在相位域上相当于左半平面(LHP)的极点,所以相位裕度会得到恶化。同时如果为了将两个极点分离程度增大,则补偿电容Cc 就要增大,这也会使得零点减小,进一步牺牲相位裕度,如图所示。

极点分裂与Cc变化

1.电路图

2.幅频特性曲线

六、实验分析

在本次设计中采用了密勒补偿,但在包含密勒补偿的电路中会产生一个离原 点很近的零点,位于这是由于Cc+C

GD6形成从输入到输出的

6

6

GD C m Z C C g +=ω

回路。这个零点大大降低了电路的稳定性。

本次设计中我们增加一个与补偿电容串联的电阻,从而改善零点的频率,

引入的电阻为R Z ,零点的频率可表示为,将此零点

移到左半平面来消除第一非主极点,满足的条件为

选定合适的C L 与C C ,在程序中读出g m6的值,就可以计算出R Z 的值。但是电

阻过大会带来更大的热噪声,还会使时间常数更大,而电路的GB 随C C 的增大

而减小,这里就涉及到电阻R Z 电容C C 和g m6的折衷。经过反复尝试,我们找到

了一组比较合适的数据,其中C C =3p ,R Z =60k ,GB 和电路的稳定性均比较好

的达到了实验要求。

实验总结

实验中出现的问题

在此次实验中遇到了很多问题,但经过学习和请教都得到了解决。首先是mos 管参数名不正确,nmos4初始名字与仿真库不同,导致仿真报错。后来改为N 后解决解决了问题。还有就是元件参数设置不合理,在实验六中一直出现error 后来发现是一个vdc 的值设置的不对,在同学的帮助下成功解决了这个问题。

实验心得体会

第一次实验初步掌握了Synopsys 软件的设计和仿真过程,学会了基本操作、解决了一些常见的问题。根据给定的原理图,我们根据原理图画好电路,自行仿真得出实验要求的参数,并顺利的完成了实验。第二次试验和最后一次的实验都是需要在给定电路图的基础上自行设计电路元件的参数,虽然加大了难度,但我们还是在自己的努力和同学助教老师的帮助下成功完成了实验。在这几次的实验过程中,我从零开始学习了Synopsys 这款功能很强大

C

m C

L

C m C E L Z E

L m Z m C C g C C C g C C C R C C g R g C 666

6)/1(1

+≈++=

+-=

-)

/1(1

6Z m C Z R g C -≈ω

的软件,虽然在一些操作上并不快捷方便,但好在熟能生巧,几次实验下来也算熟悉了这款软件。通过这几次COMS设计实验,让我们将课堂上学习的理论知识运用到实践中,并加深了对模拟COMS集成电路设计这门课程的理解。可以说,这几次实验通过接触COMS集成电路设计让我受益匪浅,为我今后的学习打下了良好的基础。感谢韩老师和助教的悉心教导和指点!

课程设计实验报告 北邮

课程设计实验报告 -----物联网实验 学院:电子工程学院班级:2011211204 指导老师:赵同刚

一.物联网概念 物联网是新一代信息技术的重要组成部分。物联网的英文名称叫“The Internet of things”。顾名思义,物联网就是“物物相连的互联网”。这有两层意思:第一,物联网的核心和基础仍然是互联网,是在互联网的基础上延伸和扩展的网络;第二,其用户端延伸和扩展到了任何物体与物体之间,进行信息交换和通信。因此,物联网的定义是:通过射频识别(RFID)、红外感应器、全球定位系统、激光扫描器等信息传感设备,按约定的协议,把任何物体与互联网相连接,进行信息交换和通信,以实现对物体的智能化识别、定位、跟踪、监控和管理的一种网络。 二.物联网作用 现有成熟的主要应用包括: —检测、捕捉和识别人脸,感知人的身份; —分析运动目标(人和物)的行为,防范周界入侵; —感知人的流动,用于客流统计和分析、娱乐场所等公共场合逗留人数预警; —感知人或者物的消失、出现,用于财产保全、可疑遗留物识别等; —感知和捕捉运动中的车牌,用于非法占用公交车道的车辆车牌捕捉; —感知人群聚集状态、驾驶疲劳状态、烟雾现象等各类信息。 三.物联网无线传感(ZigBee)感知系统 ZigBee是一种新兴的短距离、低功耗、低数据速率、低成本、低复杂度的无线网络技术。ZigBee在整个协议栈中处于网络层的位置,其下是由IEEE 802.15.4规范实现PHY(物理层)和MAC(媒体访问控制层),对上ZigBee提供了应用层接口。 ZigBee可以组成星形、网状、树形的网络拓扑,可用于无线传感器网络(WSN)的组网以及其他无线应用。ZigBee工作于2.4 GHz的免执照频段,可以容纳高达65 000个节点。这些节点的功耗很低,单靠2节5号电池就可以维持工作6~24个月。除此之外,它还具有很高的可靠性和安全性。这些优点使基于ZigBee的WSN广泛应用于工业控制、消费性电子设备、汽车自动化、家庭和楼宇自动化、医用设备控制等。 ZigBee的基础是IEEE802.15.4,这是IEEE无线个人区域网工作组的一项标准,被称作IEEE802.15.4(ZigBee)技术标准。ZigBee不仅只是802.15.4的名字。IEEE仅处理低级MAC

触发器实验报告

实验3 触发器及其应用 一、实验目的 1、掌握基本RS、JK、D和T触发器的逻辑功能 2、掌握集成触发器的逻辑功能及使用方法 3、熟悉触发器之间相互转换的方法 二、实验原理 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图5-8-1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置“0”、置“1”和“保持”三种功能。通常称S为置“1”端,因为S=0(R=1)时触发器被置“1”;R为置“0”端,因为R=0(S=1)时触发器被置“0”,当S=R=1时状态保持;S=R=0时,触发器状态不定,应避免此 种情况发生,表5-8-1为基本RS触发器的功能表。 基本RS触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 表5-8-1 图5—8—1 基本RS触发器 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚功能及逻辑符号如图5-8-2所示。 JK触发器的状态方程为 Q n+1=J Q n+K Q n J和K是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组

成“与”的关系。Q与Q为两个互补输出端。通常把Q=0、Q=1的状态定为触发器“0”状态;而把Q=1,Q=0定为“1”状态。 图5-8-2 74LS112双JK触发器引脚排列及逻辑符号 下降沿触发JK触发器的功能如表5-8-2 表 注:×—任意态↓—高到低电平跳变↑—低到高电平跳变 Q n(Q n)—现态Q n+1(Q n+1 )—次态φ—不定态 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 3、D触发器 在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为 Q n+1=D n,其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器, 触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双 D 74LS74、四D 74LS175、六D 74LS174等。 图5-8-3 为双D 74LS74的引脚排列及逻辑符号。功能如表5-8-3。

模电实验报告

模拟电子技术 实验报告 实验题目:放大电路的失真研究 学院:电子信息工程学院 专业: 姓名: 学号: 指导教师: 【2017年】

目录 一、实验目的与知识背景 (3) 1.1实验目的 (3) 1.2知识背景 (3) 二、实验内容及要求 (3) 2.1基本要求 (3) 2.2发挥部分 (4) 三、实验方案比较及论证 (5) 3.1理论分析电路的失真产生及消除 (5) 3.2具体电路设计及仿真 (8) 四、电路制作及测试 (12) 4.1正常放大、截止失真、饱和失真及双向失真 (12) 4.2交越失真 (13) 4.3非对称失真 (13) 五、失真研究思考题 (13) 六、感想与体会 (16) 6.1小组分工 (16) 6.2收获与体会 (16) 6.3对课程的建议 (17) 七、参考文献 (17)

一、实验目的与知识背景 1.1实验目的 1. 掌握失真放大电路的设计和解决电路的失真问题——针对工程问题,收集信息、查阅文献、分析现有技术的特点与局限性。提高系统地构思问题和解决问题的能力。 2. 掌握消除放大电路各种失真技术——依据解决方案,实现系统或模块,在设计实现环节上体现创造性。系统地归纳模拟电子技术中失真现象。 3. 具备通过现象分析电路结构特点——对设计系统进行功能和性能测试,进行必要的方案改进,提高改善电路的能力。 1.2知识背景 1.输出波形失真可发生在基本放大、功率放大和负反馈放大等放大电路中,输出波形失真有截止失真、饱和失真、双向失真、交越失真,以及输出产生的谐波失真和不对称失真等。 2.基本放大电路的研究、乙类功率放大器、负反馈消除不对称失真以及集成运放的研究与应用。 3.射极偏置电路、乙类、甲乙类功率放大电路和负反馈电路。 二、实验内容及要求 2.1基本要求 1.输入一标准正弦波,频率2kHz,幅度50mV,输出正弦波频率2kHz,幅度1V。

北邮模电—实验三、共射放大电路测试仿真(模板)2018-02-26 (1)

实验三共射放大电路计算、仿真、测试分析报告 (请在本文件中录入结果并进行各类分析,实验结束后,提交电子文档报告) 实验目的: 掌握共射电路静态工作点的计算、仿真、测试方法;掌握电路主要参数的计算、中频时输入、输出波形的相位关系、失真的类型及产生的原因;掌握获得波特图的测试、仿真方法;掌握负反馈对增益、上下限截频的影响,了解输入输出间的电容对上限截频的影响等。 实验设备及器件: 笔记本电脑(预装所需软件环境) AD2口袋仪器 电容:100pF、0.01μF、10μF、100μF 电阻:51Ω*2、300Ω、1kΩ、2kΩ、10kΩ*2、24kΩ 面包板、晶体管、2N5551、连接线等 实验内容: 电路如图3-1所示(搭建电路时应注意电容的极性)。 图3-1实验电路 1.静态工作点 (1)用万用表的β测试功能,获取晶体管的β值,并设晶体管的V BEQ=0.64V,r bb’=10Ω(源于Multisim模型中的参数)。准确计算晶体管的静态工作点(I BQ、I EQ、V CEQ,并填入表3-1)(静态工作点的仿真及测量工作在C4为100pF完成); 主要计算公式及结果:

晶体管为2N5551C,用万用表测试放大倍数β(不同的晶体管放大倍数不同,计算时使用实测数据,并调用和修改Multisim中2N5551模型相关参数,计算静态工作点时,V BEQ=0.64V)。静态工作点计算: (2)通过Multisim仿真获取静态工作点(依据获取的β值,修改仿真元件中晶体管模型的参数,修改方法见附录。使用修改后的模型参数仿真I BQ、I EQ、V CEQ,并填入表3-1); (3)搭建电路测试获取工作点(测试发射极对地电源之差获得I EQ,测试集电极与发射极电压差获取V CEQ,通过β计算I BQ,并填入表3-1); 主要测试数据: 4 (4)对比分析计算、仿真、测试结果之间的差异。 分析:可以发现,这三组数据基本吻合,测试值均高于计算值和仿真值,而仿真值比较接近计算值。产生误差得原因可能是实测中在数据的读取时出现读数误差。 2.波形及增益 (1)计算电路的交流电压增益,若输入1kHz 50mV(峰值)正弦信号,计算正负半周的峰值并填入表3-2中(低频电路的仿真及测量工作在C4为100pF完成); 主要计算公式和结果: 输入峰值为50mV的正弦交流信号时,输出电压峰值为: (2)Multisim仿真:输入1kHz 50mV(峰值)正弦信号,观察输入、输出波形(波形屏幕拷贝贴于下方,标出输出正负半周的峰值,将输出的峰值填入表3-2中);

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

计算机网络课程设计实验报告

校园网的组建与应用 摘要: 本文针对实验室的设备环境,对校园网的组网方式进行了研究和模拟,并最终提出了一套完整的校园网组网方案。 实验中我们对路由器、交换机等组网基础设备进行了认真的研究。关于路由器,我们实现了本地基本配置,并分别使用路由器的串口和以太网口实现了不同网段的网络互联,对路由器静态及动态路由机制进行了探究。关于交换机,我们实现了VLAN的划分以及不同VLAN间的相互通信,对广播风暴现象的产生原理及解决方案进行了特定的实验。综合两者的功能,我们对多种网络拓扑结构进行了分析,讨论和改进。最后通过实验和模拟提出了一套完整的校园网组建方案。 在此方案中,我们在实现了网络互通的情况下,我们进行了IP地址的划分,IP地址利用DHCP进行自动分配。并根据模拟实际,对不同的主机进行VLAN划分,同时保证不同VLAN间的相互访问与特定VLAN的保护与单向访问。同时构建内部防火墙保证校园网与外部的安全访问。构建了完整可靠的网络之后,依据校园网的功能和服务需求,我们搭建了FTP服务器,用于提供基础的网络服务。 限于实验室条件的限制,我们的方案并不是完全能够适用于现实的。但是,通过实验使我们对校园网乃至更大的网络有了更加深刻的了解。

目录

一、前言 随着信息的调整膨胀,全球信息已经进入以计算机网络为核心的时代。作为科技先导的教育行业,计算机校园网已是教育进行科研和现代化管理的重要手段。近几年、校园网已经取得很大的发展,中国教育科研网投入运营,全国多所高校校园网络开通联网。 随着学校教育手段的现代化,很多学校已经逐渐开始将学校的管理和教学过程向电子化方向发展,校园网的有无以及水平的高低也将成为评价学校及学生选择学校的新的标准之一,此时,校园网上的应用系统就显得尤为重要。一方面,学生可以通过它在促进学习的同时掌握丰富的计算机及网络信息知识,毫无疑问,这是学生综合素质中极为重要的一部分;另一方面,基于先进的网络平台和其上的应用系统,将极大的促进学校教育的现代化进程,实现高水平的教学和管理。 学校目前正加紧对信息化教育的规划和建设。开展的校园网络建设,旨在推动学校信息化建设,其最终建设目标是将建设成为一个借助信息化教育和管理手段的高水平的智能化、数字化的教学园区网络,最终完成统一软件资源平台的构建,实现统一网络管理、统一软件资源系统,并保证将来可扩展骨干网络节点互联带宽为10G,为用户提供高速接入网络,并实现网络远程教学、在线服务、教育资源共享等各种应用;利用现代信息技术从事管理、教学和科学研究等工作。最终达到在网络方面,更好的对众多网络使用及数据资源的安全控制,同时具有高性能,高效率,不间断的服务,方便的对网络中所有设备和应用进行有效的时事控制和管理。 二、综述 2.1 概述 从物理意义上来说,校园网就是一种局域网。校园网是各类型网络中一大分支,有着非常广泛的应用及代表性。作为新技术的发祥地,学校、尤其是高等院校,和网络的关系是密不可分的。作为“高新技术孵化器”的高校,是知识、人才的高地,资源十分丰富,比其他行业更渴求网络新技术、网络新应用,

北邮模电实验声控报警电路

北京邮电大学 《电子电路测量与设计实验》实验报告 题目:声控报警电路 姓名:李英民 学号:2014210579 班级: 2014211120 学院: 信息与通信工程学院 2016年 4 月

一、课题名称 声控报警电路 二、摘要及关键字 (一)摘要: 当今社会,对报警系统的需求越来越大,电子报警器应用于安全防范,系统故障,交通运输,医疗救护等领域,和社会生产密不可分。 本实验就针对声控报警电路进行设计和电路拼搭,通过实际面包板电路和仿真电路对报警电路的局部电路和整体电路两方面进行电路介绍和功能分析。并分析在实验中遇见的问题,困难及解决方法,最后总结本实验结束后的心得体验。 (二)关键字: 报警器;CD4011;无源蜂鸣器;LM358 三、设计任务要求 1、基本要求:在麦克风近处击掌(模拟异常响动),电路能发出报警声,持续时间大于5 秒。声音传感器用驻极体式咪头,蜂鸣器用无源压电式蜂鸣器 2、提高要求: A、增加报警灯,使其闪烁报警。 B、增加输出功率,提高报警音量,加强威慑力。 四、设计思路及总体结构框图 (一)设计思路: 驻极体式咪头作为声音传感器,将击掌产生的声信号转化为电信号,微弱 的电信号经过反相放大器放大,放大信号进入同相比较器,比较器根据实验可以设置合理的比较电压 VREF,当放大信号高于比较电压 VREF 时,放大器输出高电平促发方波振荡器开始工作,振荡产生的方波经三极管放大即可驱动无源式蜂鸣器发出报警声音。但由于一次拍手产生的电信号只有短暂的信号,故还需要在比较器后加入延时电路,利用时间常数的特性来延长报警时间 (二)总体结构框图: 五、分块电路和总体电路的设计

北邮电路综合实验报告——串行口数据传输的仿真及硬件实现

北京邮电大学 信息与通信工程学院 电路综合实验报告 串行口数据传输的仿真及硬件实现 姓名: 学号: 班内序号: 班级: 指导老师: 日期:2014年10月10日

摘要: 本实验模拟了现代数字逻辑电路中的数据传输过程。使用连续的代表0、1的高低电平作为数字信号,将该数字信号从输出端发送到接收端,并分别用串行、并行两种方式进行锁存,检测。本实验模拟了序列信号的发生装置、串并转换装置、串行并行两种方式的检测装置、锁存输出和控制电路,实现了一个简单的串行口数据传输模型。在此试验中,通过对常见芯片的组合实现功能,将一串由0、1组成的数字信号进行传输、转换、检测,使之显示在数码管上成为可读信息。并且,还实现了对此电路显示的控制,使数码管在满足条件的情况下才点亮。在实验中,还使用了Qua rtusⅡ对设计的电路进行了仿真模拟。 关键字: 数据传输、串并转换、数据检测、QuartusII Abstract: This experiment simulated data transfer in modern digital logic circuit. Digital signal was transferred from the output terminal to the receiving end, which was consisted of

continuous high or low level represent 0 and 1 as digital signal, and latch, test it through serial or parallel mode. Our experiment simulated the producing equipment of sequence signal, the signal conversion module, testing module of serial and parallel mode, latch output and control circuit. It implements a simple serial port data communication model. In the experiment, we use the combination of simple chips to realize the function that transport, transfer and test a sequence of the digital signal consisting of 0 and 1, and display it on LED Segment Displays. In addition, we realize the control of display. The LED Segment Displays works only in specific conditions. We also conduct simulations on QuartusⅡ. Keywords: Data transmission, String conversion, Data detection, Quartus II 目录 一、实验目的 (4)

CMOS反相器数电实验报告

1.实验目的 1.1了解Schematic设计环境 1.2掌握反相器电路原理图输入方法 1.3掌握逻辑符号创建方法 2实验原理 在Schematic设计环境中本实验所用的主要菜单有Tool、Design、Window、Edit、Add、Check、Sheet、Options等项。其中常用菜单有: Tool菜单提供设计工具以及辅助命令。比如,lab4、lab5所使用的仿真工具ADE,就在Tool下拉菜单中。 Window菜单中的各选项有调整窗口的辅助功能。比如,Zoom选项对窗口放大(Zoom in)与缩小(Zoom out),fit选项将窗口调整为居中,redraw选项为刷新。 Edit菜单实现具体的编辑功能,主要有取消操作(Undo)、重复操作(Redo)、拉伸(Stretch)、拷贝(copy)、移动(Move)、删除(Delete)、旋转(Rotate)、属性(Properties)、选择(Select)、查找(Search)等子菜单,在以下实验中将大量应用。 Add菜单用于添加编辑所需要的各种素材,比如元件(Instance)或输入输出端点(pin)等。 3实验步骤 3.1在ic5141中设计的管理以库的方式进行。库管理器中包含有设计使用的工艺库和ic5141软件提供的一些元件库。无论画电路图还是设计版图,都和建库有关,所以首先建立一个库文件,方法如下: CIW界面点击File菜单,出现下拉菜单,选命令File→New→Library,出现“New Library”对话框,填入合适的信息,如图1所示。

新建库后面还将用于版图绘制,选第二个选项,即“Attach to an existing techfile”,单击“OK”按钮,完成新库的建立。 3.2电路原理图输入 设计库建好后,就可以开始画电路原理图,具体过程如下。 建立设计原理图:在CIW中选菜单单项File→New→Cellview,出现“Create new File”对话框,如图所示填写、选择相应的选项,点击OK按钮,进入原理如编辑器。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

北邮移动通信实验报告

信息与通信工程学院移动通信实验报告 班级: 姓名: 学号: 序号: 日期:

一、实验目的 1移动通信设备观察实验 1.1RNC设备观察实验 a) 了解机柜结构 b) 了解RNC机框结构及单板布局 c) 了解RNC各种类型以及连接方式 1.2基站设备硬件观察实验 a) 初步了解嵌入式通信设备组成 b) 认知大唐移动基站设备EMB5116的基本结构 c) 初步分析硬件功能设计 2网管操作实验 a) 了解OMC系统的基本功能和操作 b) 掌握OMT如何创建基站 二、实验设备 TD‐SCDMA移动通信设备一套(EMB5116基站+TDR3000+展示用板卡)、电脑。 三、实验内容 1TD-SCDMA系统认识 TD-SCDMA是英文Time Division-Synchronous Code Division Multiple Access(时分同步码分多址)的简称,TD-SDMA是由中国提出的第三代移动通信标准(简称3G),也是ITU批准的三个3G标准中的一个,以我国知识产权为主的、被国际上广泛接受和认可的无线通信国际标准。是我国电信史上重要的里程碑。 TD-SCDMA在频谱利用率、业务支持灵活性、频率灵活性及成本等方面有独特优势。TD-SCDMA由于采用时分双工,上行和下行信道特性基本一致,因此,基站根据接收信号估计上行和下行信道特性比较容易。TD-SCDMA使用智能天线技术有先天的优势,而智能天线技术的使用又引入了SDMA的优点,可以减少用户间干扰,从而提高频谱利用率。TD-SCDMA还具有TDMA的优点,可以灵活设置

上行和下行时隙的比例而调整上行和下行的数据速率的比例,特别适合因特网业务中上行数据少而下行数据多的场合。但是这种上行下行转换点的可变性给同频组网增加了一定的复杂性。TD-SCDMA是时分双工,不需要成对的频带。因此,和另外两种频分双工的3G标准相比,在频率资源的划分上更加灵活。 图1 3G网络架构 2硬件认知 2.1 RNC设备认知 TDR3000整套移动通信设备机框外形结构如图2所示。

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

北邮通电实验报告

实验3 集成乘法器幅度调制电路 信息与通信工程学院 2016211112班 苏晓玥杨宇宁 2016210349 2016210350

一.实验目的 1.通过实验了解振幅调制的工作原理。 2.掌握用MC1496来实现AM和DSB的方法,并研究已调波与调制信号,载波之间的关系。3.掌握用示波器测量调幅系数的方法。 二.实验准备 1.本实验时应具备的知识点 (1)幅度调制 (2)用模拟乘法器实现幅度调制 (3)MC1496四象限模拟相乘器 2.本实验时所用到的仪器 (1)③号实验板《调幅与功率放大器电路》 (2)示波器 (3)万用表 (4)直流稳压电源 (5)高频信号源 三.实验内容 1.模拟相乘调幅器的输入失调电压调节。 2.用示波器观察正常调幅波(AM)波形,并测量其调幅系数。 3.用示波器观察平衡调幅波(抑制载波的双边带波形DSB)波形。 四.实验波形记录、说明 1.DSB信号波形观察

2.DSB信号反相点观察 3.DSB信号波形与载波波形的相位比较 结论:在调制信号正半周期间,两者同相;负半周期间,两者反相。

4.AM正常波形观测 5.过调制时的AM波形观察(1)调制度为100%

(2)调制度大于100% (3)调制度为30% A=260.0mv B=140.0mv

五.实验结论 我们通过实验了解振幅调制的工作原理是:调幅调制就是用低频调制信号去控制高频振荡(载波)的幅度,使其成为带有低频信息的调幅波。目前由于集成电路的发展,集成模拟相乘器得到广泛的应用,为此本实验采用价格较低廉的MC1496集成模拟相乘器来实现调幅之功能。 DSB信号波形与载波波形的相位关系是:在调制信号正半周期间,两者同相;负半周期间,两者反相。 通过实验了解到了调制度的计算方法 六.课程心得体会 通过本次实验,我们了解了振幅调制的工作原理并掌握了实现AM和DSB的方法,学会计算调制度,具体见实验结论。我们对集成乘法器幅度调制电路有了更好的了解,对他有了更深入的认识,提高了对通信电子电路的兴趣。 和模电实验的单独进行,通电实验增强了团队配合的能力,两个人的有效分工提高了实验的效率,减少了一个人的独自苦恼。

数据结构 哈夫曼编码 实验报告

数据结构实验报告 实验名称:实验3——树(哈夫曼编/解码器) 学生姓名: 班级: 班内序号: 学号: 日期:2011年12月5日 1.实验要求 利用二叉树结构实现哈夫曼编/解码器。 基本要求: 1、初始化(Init):能够对输入的任意长度的字符串s进行统计,统计每个字符的频 度,并建立哈夫曼树 2、建立编码表(CreateTable):利用已经建好的哈夫曼树进行编码,并将每个字符的 编码输出。 3、编码(Encoding):根据编码表对输入的字符串进行编码,并将编码后的字符串输 出。 4、译码(Decoding):利用已经建好的哈夫曼树对编码后的字符串进行译码,并输出 译码结果。 5、打印(Print):以直观的方式打印哈夫曼树(选作) 计算输入的字符串编码前和编码后的长度,并进行分析,讨论哈夫曼编码的压缩效果。 并用I love data Structure, I love Computer。I will try my best to study data Structure.进行测试。 2. 程序分析 哈夫曼树结点的存储结构包括双亲域parent,左子树lchild,右子树rchild,还有字符word,权重weight,编码code 对用户输入的信息进行统计,将每个字符作为哈夫曼树的叶子结点。统计每个字符出现的次数作为叶子的权重,统计次数可以根据每个字符不同的ASCII码,根据叶子结点的权重建立一个哈夫曼树。 建立每个叶子的编码从根结点开始,规定通往左子树路径记为0,通往右子树路径记为1。由于编码要求从根结点开始,所以需要前序遍历哈夫曼树,故编码过程是以前序遍历二叉树为基础的。同时注意递归函数中能否直接对结点的编码域进行操作。 编码信息只要遍历字符串中每个字符,从哈夫曼树中找到相应的叶子结点,取得相应的编码。最后再将所有找到的编码连接起来即可。 译码则是将编码串从左到右逐位判别,直到确定一个字符。这就是哈夫曼树的逆过程。

北邮模电简易晶体管图示仪实验报告

模拟综合实验 实 验 报 告 课题名称:简易晶体管图示仪 学院:信息与通信工程学院 专业: 班级: : 学号: 指导老师:王丹志

2016.04.15 摘要 本报告主要介绍了简易晶体管图示仪的设计原理、部结构、设计框图及仿真电路图;并且给出了各个分块电路和总体电路的设计原理、功能说明、电路图等;同时展示了实验中示波器上的波形和其他重要数据;最后分析了实际操作中遇到的问题并提出了解决办法,还有对本次实验的结论与总结。 关键词:阶梯波、三角波、晶体管、输出特性曲线

一.设计任务要求: 1.基本要求: 1)设计一个阶梯波发生器,f≥500Hz,Uopp≥3V,阶数 N=6; 2)设计一个三角波发生器,三角波Vopp≥2V; 3)设计保护电路,实现对三极管输出特性的测试。 2.提高要求: 1)可以识别NPN,PNP管,并正确测试不同性质三极管; 2)设计阶数可调的阶梯波发生器。 二.设计思路及总体结构框图: 1.设计思路: 本实验要求用示波器稳定显示晶体管的输出特性曲线,因此可用阶梯波和三角波对晶体管进行周期性扫描,并将结果以图示的方式显示在示波器上。 具体思路如下: 1)首先利用NE555时基振荡器产生符合条件的方波; 2)将方波输入到双运算放大器LF353中,其中一个运放作 为积分器产生锯齿波,另一个运放构成反相放大电路得 到合适幅值的三角波; 3)将方波作为时钟信号输入到四位同步二进制计数器 74LS169中,取其低三位输出作为地址输入到CD4051

的地址端,通过分压在CD4051的数据输入端输入等间 隔的电位值,CD4051作为数据选择器,根据输入的地 址对数据进行选择性输出,从而获得阶梯波; 4)将三角波输入到三极管的集电极,阶梯波作为基极电位 输入到三极管的基极作为扫描电压。通过示波器两通道 分别接集电极和射极,以X-Y模式显示晶体管的输入输 出特性曲线。 2.总体结构框图: 三.分块电路和总体电路设计: 1.方波电路: 1)原理:

北京邮电大学电路实验报告-(小彩灯)

北京邮电大学电路实验报告-(小彩灯)

电子电路综合实验报告课题名称:基于运算放大器的彩灯显示电路的设计与实现 姓名:班级:学号: 一、摘要: 运用运算放大器设计一个彩灯显示电路,通过迟滞电压比较器和反向积分器构成方波—三角波发生器,三角波送入比较器与一系列直流电平比较,比较器输出端会分别输出高电平和低电平,从而顺序点亮或熄灭接在比较器输出端的发光管。 关键字: 模拟电路,高低电平,运算放大器,振荡,比较 二、设计任务要求: 利用运算放大器LM324设计一个彩灯显示电路,让排成一排的5个红色发光二极管(R1~R5)重复地依次点亮再依次熄灭(全灭→R1→R1R2→R1R2R3→R1R2R3R4→R1R2R3R4R5→R1R2R3R4→R1R2R3→R1R2→R1→全灭),同时让排成一排的6个绿色发光二极管(G1~G6)单光

三角波振荡电路可以采用如图2-28所示电路,这是一种常见的由集成运算放大器构成的方波和三角波发生器电路,图2-28中运放A1接成迟滞电压比较器,A2接成反相输入式积分器,积分器的输入电压取自迟滞电压比较器的输出,迟滞电压比较器的输入信号来自积分器的输出。假设迟滞电压比较器输出U o1初始值为高电平,该高电平经过积分器在U o2端得到线性下降的输出信号,此线性下降的信号又反馈至迟滞电压比较器的输入端,当其下降至比较器的下门限电压U th-时,比较器的输出发生跳变,由高电平跳变为低电平,该低电平经过积分器在U o2端得到线性上升的输出信号,此线性上升的信号又反馈至迟

滞电压比较器的输入端,当其上升至比较器的上门限电压U th+时,比较器的输出发生跳变,由低电平跳变为高电平,此后,不断重复上述过程,从而在迟滞电压比较器的输出端U o1得到方波信号,在反向积分器的输出端U o2得到三角波信号。假设稳压管反向击穿时的稳定电压为U Z,正向导通电压为U D,由理论分析可知,该电路方波和三角波的输出幅度分别为: 式(5)中R P2为电位器R P动头2端对地电阻,R P1为电位器1端对地的电阻。 由上述各式可知,该电路输出方波的幅度由稳压管的稳压值和正向导通电压决定,三角波的输 出幅度决定于稳压管的稳压值和正向导通电压以及反馈比R1/R f,而振荡频率与稳压管的稳压值和正向导通电压无关,因此,通过调换具有不同稳压值和正向 导通电压的稳压管可以成比例地改变方波和三角波的幅度而不改变振荡频率。 电位器的滑动比R P2/R P1和积分器的积分时间常数R2C的改变只影响振荡频率而 不影响振荡幅度,而反馈比R1/R f的改变会使振荡频率和振荡幅度同时发生变化。因此,一般用改变积分时间常数的方法进行频段的转换,用调节电位器滑动头 的位置来进行频段内的频率调节。

电子琴的实验报告

单片机课程设计 设计题目电子琴 指导老师:苏 参与实验者:moxiaoxiao 专业:统本电信0801 地点:3#楼北楼605 电子琴 一.设计目的: (1).培养综合运用知识的能力 (2).朋友查阅资料,使用工程设计标准及编写设计文档的能力. (3).掌握单片机应用系统的设计方法. (4).提高计算机绘图能力 二.设计任务: 利用DP51PROC实验系统上的定时器/计数器,按键和蜂鸣器单元。用单片机I/O 口线控制蜂鸣器发出不同的音调,程序检测按键状态,7个按键中某一键按下时,蜂鸣器对应标称音阶. 三.设计与调试环境 KEIL uVision2 是众多单片机应用开发软件中优秀的软件之一,它支持众多不同公司的MCS51 架构的芯片,它集编辑,编译,仿真等于一体,同时还支持,PLM ,汇编和 C 语言的程序设计,它的界面和常用的微软VC++的界面相似,界面友好,易学易用,在调试程序,软件仿真方面也有很强大的功能。 1:按下面的步骤建立一个项目: 图1-4 选取芯片 图1-5 新建程序文件 (1)点击图1-5 中的 3 保存新建的程序,也可以用菜单File-Save 或快捷键Ctrl+S 进行保存。因是新文件所以保存时会弹出类似图1-3 的文件操作窗口,我们把第一个程序命名为,保存在项目所在的目录中,这时程序单词有了不同的颜色,说明KEIL 的 C 语法检查生效了。如图1-6 鼠标在屏幕左边的Source Group1 文件夹图标上右击弹出菜单,在这里可以做项目中增加减少文件等操作。我们选“Add File t o Group ‘SourceGroup 1’”弹出文件窗口,选择刚刚保存的文件,按ADD 按钮,关闭文件窗,程序文件已加到项目中了。这时在Source Group1 文件夹图标左边出现了一个小+号说明,文件组中有了文件,点击它可以展开查看。 图1-6 把文件加入到项目文件组中 编译程序 (2)进入调试模式,软件窗口样式大致如图1-8 所示。图中1 为运行,当程序处于停止状态时才有效,2 为停止,程序处于运行状态时才有效。3 是复位,模拟芯片的复位,程序回到最开头处执行。按

北邮-电子电路综合设计实验(函数信号发生器)报告

电子电路综合设计实验报告 实验1 函数信号发生器的设计与实现 姓名:------ 学号:---------- 班内序号:--

一. 实验名称: 函数信号发生器的设计与调试 二.实验摘要: 采用运放组成的积分电路产生方波-三角波,可得到比较理想的方波和三角波。根据所需振荡频率的高低和对方波前后沿陡度的要求以及对所需方波、三角波的幅度可以确定合适的运放以及稳压管的型号、所需电阻的大小和电容的值。三角波-正弦波的转换是利用差分放大器来完成的,选取合适的滑动变阻器来调节三角波的幅度以及电路的对称性。同时利用隔直电容、滤波电容来改善输出正弦波的波形。 关键词: 方波三角波正弦波频率可调 三、设计任务要求 1.基本要求: (1)输出频率能在1-10KHz范围内连续可调,无明显失真; (2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; (3)三角波Uopp=8V; (4)正弦波Uopp错误!未找到引用源。1V. (5)设计该电路的电源电路(不要求实际搭建) 2.提高要求: (1)正弦波、三角波和方波输出波形的峰峰值Uopp均可在1V-10V内连续可调。 (2)三种输出波形的输出端口的输出阻抗小于100Ω。 (3)三种波形从同一端口输出,并能够显示当前输出信号的种类、大小和频率 (4)用CPLD设计DDS信号源 (5)其他函数信号发生器的设计方案 四、设计思路以及总体结构框图 本课题中函数发生器结构组成如下所示:由比较器和积分器组成方波—三角波产生电

路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。 图4-1 函数信号发生器的总体框图 五.分块电路和总体电路的设计 (1)方波——三角波产生电路 图5-1 方波-三角波产生电路

模拟CMOS集成电路设计课程设计实验报告(二级放大器的设计)

模拟CMOS集成电路设计课程设计报告 --------二级运算放大器的设计信息科学技术学院电子与科学技术系

一、概述: 运算放大器是一个能将两个输入电压之差放大并输出的集成电路。运算放大器是模拟电子技术中最常见的电路,在某种程度上,可以把它看成一个类似于BJT 或FET 的电子器件。它是许多模拟系统和混合信号系统中的重要组成部分。 它的主要参数包括:开环增益、单位增益带宽、相位阈度、输入阻抗、输入偏流、失调电压、漂移、噪声、输入共模与差模范围、输出驱动能力、建立时间与压摆率、CMRR、PSRR以及功耗等。 二、设计任务: 设计一个二级运算放大器,使其满足下列设计指标: 工艺Smic40nm 电源电压 1.1v 负载100fF电容 增益20dB 至少40dB 3dB带宽20MHz 输入小信号幅度5uV 共模电平自己选取 输出共模电平自己选取 电路结构两级放大器 相位裕度60~70度 功耗无要求 三、电路分析: 1.电路结构:

最基本的二级运算放大器如下图所示,主要包括四部分:第一级放大电路、第二级放大电路、偏置电路和相位补偿电路。 2.电路描述: 输入级放大电路由PM2、PM0、PM1和NM0、NM1组成。PM0和PM1构成差分输入对,使用差分对可以有效地抑制共模信号干扰;NM0和NM1构成电流镜作为有源负载;PM2作为恒流源为放大器第一级提供恒定的偏置电流。 第二级放大电路由NM2和PM3构成。NM2为共源放大器;

PM3为恒流源作负载。 相位补偿电路由电阻R0和电容C0构成,跨接在第二级输入输出之间,构成RC米勒补偿。 此外从电流电压转换角度来看,PM0和PM1为第一级差分跨导级,将差分输入电压转换为差分电流。NM0和NM1为第一级负载,将差模电流恢复为差模电压。NM2为第二级跨导级,将差分电压信号转换为电流,而PM3再次将电流信号转换成电压信号输出。 偏置电压由V0和V2给出。 3.静态特性 对第一级放大电路: 构成差分对的PM0和PM1完全对称,故有 G m1=g mp0=g mp1 (1) 第一级输出电阻 R out1=r op1||r on1 (2) 则第一级电压增益 A1=G m1Rout1=g mp0,1(r op1||r on1) (3) 对第二级放大电路: 电压增益 A2=G m2R out2= -g mn2(r on2||r op3) (4) 故总的直流开环电压增益 A0=A1A2= -g mp0,1g mn2(r op1||r on1)(r on2||r op3) (5)

相关文档
相关文档 最新文档