文档库 最新最全的文档下载
当前位置:文档库 › EDA技术的概念及范畴

EDA技术的概念及范畴

EDA技术的概念及范畴
EDA技术的概念及范畴

EDA技术的概念及范畴

[ 2006-3-17 15:16:29 | By: MCU博客 ]

EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。EDA设计可分为系统级、电路级和物理实现级。

EDA常用软件

EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:EWB、PSPICE、OrCAD、PCAD、Protel、V iewlogic、 Mentor、Graphics、Synopsys、LSIlogic、Cadence、MicroSim等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同时以可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。下面按主要功能或主要应用场合,分为电路设计与仿真工具、PC B设计软件、IC设计软件、PLD设计工具及其它EDA软件,进行简单介绍。

1、电子电路设计与仿真工具

电子电路设计与仿真工具包括SPICE/PSPICE;EWB;Matlab;SystemView;MMICAD等。下面简单介绍前三个软件。

(1)SPICE(Simulation Program with Integrated Circuit

Emphasis)是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国 MicroSim公司推出了基于SPICE的微机版PSPICE(Per sonal—SPICE)。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。

(2)EWB(Electronic Workbench)软件是Interactive ImageTechnologies Ltd 在20世纪90年代初推出的电路仿真软件。目前普遍使用的是EWB5.2,相对于其它EDA软件,它是较小巧的软件(只有16M)。但它对模数电路的混合仿真功能却十分强大,几乎100%地仿真出真实电路的结果,并且它在桌面上提供了万用表、示波器、信号发生器、扫频仪、逻辑分析仪、数字信号发生器、逻辑转换器和电压表、电流表等仪器仪表。它的界面直观,易学易用。它的很多功能模仿了SPICE的设计,但分析功能比PSPICE稍少一些。

(3) MATLAB产品族它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整的函数集用来对图像信号处理、控制系统设计、神经网络等特殊应用进行分析和设计。它具有数据采集、报告生成和MATLAB语言编程产生独立C/C++代码等功能。MATLAB产品族具有下列功能:数据分析;数值和符号计算;工程与科学绘图;控制系统设计;数字图像信号处理;财务工程;建模、仿真、原型开发;应用开发;图形用户界面设计等。MATLAB产品族被广泛地应用于信号与图像处理、控制系统设计、通讯系统仿真等诸多领域。开放式的结构使MATLAB产品族很容易针对特定的需求进行扩充,从而在不断深化对问题的认识同时,提高自身的竞争力。

2、PCB设计软件

PCB(Printed—Circuit Board)设计软件种类很多,如

Protel; OrCAD;Viewlogic; Power PCB; Cadence PSD;MentorGraphices的Expedition PCB;Zuken CadStart; Winboard/Windraft/Ivex-SPICE;PCB Studio; TANGO等等。目前在我国用得最多应属Prot el,下面仅对此软件作一介绍。

Protel是PROTEL公司在20世纪80年代末推出的 CAD工具,是PCB设计者的首选软件。它较早在国内使用,普及率最高,有些高校的电路专业还专门开设Protel课程,几乎所在的电路公司都要用到它。早期的Protel主要作为印刷板自动布线工具使用,现在普遍使用的是Protel99SE,它是个完整的全方位电路设计系统,包含了电原理图绘制、模拟电路与数字电路混合信号仿真、多层印刷电路板设计(包含印刷电路板自动布局布线),可编程逻辑器件设计、图表生成、电路表格生成、支持宏操作等功能,并具有Client/S erver(客户/服务器体系结构,同时还兼容一些其它设计软件的文件格式,如ORCAD、PSPICE、EXCEL等。使用多层印制线路板的自动布线,可实现高密度PCB的100%布通率。Protel软件功能强大、界面友好、使用方便,但它最具代表性的是电路设计和PCB设计。

3、IC设计软件

IC 设计工具很多,其中按市场所占份额排行为Cadence、Mentor Graphics和Synopsys。这三家都是ASI C设计领域相当有名的软件供应商。其它公司的软件相对来说使用者较少。中国华大公司也提供ASIC 设计软件(熊猫2000);另外近来出名的Avanti公司,是原来在Cadence的几个华人工程师创立的,他们的设计工具可以全面和Cadence公司的工具相抗衡,非常适用于深亚微米的IC设计。下出按用途对IC设计软件作一些介绍。

(1)设计输入工具这是任何一种EDA软件必须具备的基本功能。像Cadence的composer,viewlogic的vi ewdraw,硬件描述语言VHDL、 Verilog HDL是主要设计语言,许多设计输入工具都支持HDL。另外像Acti ve—HDL和其它的设计输入方法,包括原理和状态机输入方法,设计FPGA/CPLD的工具大都可作为IC设计的输入手段,如Xilinx、Altera等公司提供的开发工具,Modelsim FPGA等。

(2)设计仿真工作我们使用EDA工具的一个最大好处是可以验证设计是否正确,几乎每个公司的EDA产品都有仿真工具。Verilog—XL、NC—verilog用于Verilog仿真,Leapfrog用于VHDL仿真,Analog Art ist用于模拟电路仿真。Viewlogic的仿真器有:viewsim门级电路仿真器,speedwaveVHDL仿真器,VCS — verilog仿真器。Mentor Graphics有其子公司Model Tech 出品的VHDL和Verilog双仿真器:Model Sim。Cadence、Synopsys用的是VSS(VHDL仿真器)。现在的趋势是各大EDA公司都逐渐用HDL仿真器作为电路验证的工具。

(3)综合工具综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile是作综合的工业标准,它还有另外一个产品叫Behavior Compiler,可以提供更高级的综合。另外最近美国又出了一家软件叫Ambit,说是比Synopsys的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit被Cadence公司收购,为此Cadence放弃了它原来的综合软件Synergy。随着FPGA设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有:Synopsys的FPGA Express, Cadence的Synplity,Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。

(4)布局和布线在IC设计的布局布线工具中,Cadence软件是比较强的,它有很多产品,用于标准单元、门阵列已可实现交互布线。最有名的是Cadence spectra,它原来是用于PCB布线的,后来Cadence把它用来作IC的布线。其主要工具有:Cell3,Silicon Ensemble—标准单元布线器;Gate Ensemble—门阵列布线器;Design Planner—布局工具。其它各EDA软件开发公司也提供各自的布局布线工具。

(5)物理验证工具物理验证工具包括版图设计工具、版图验证工具、版图提取工具等等。这方面Cadenc e也是很强的,其Dracula、Virtuso、Vampire等物理工具有很多的使用者。

(6)模拟电路仿真器前面讲的仿真器主要是针对数字电路的,对于模拟电路的仿真工具,普遍使用SPICE,这是唯一的选择。只不过是选择不同公司的SPICE,像 MiceoSim的PSPICE、Meta Soft的HSPICE等等。H SPICE现在被Avanti公司收购了。在众多的SPICE中,最好最准的当数HSPICE,作为IC设计,它的模型

最多,仿真的精度也最高。

4、PLD设计工具

PLD(Programmable Logic Device)是一种由用户根据需要而自行构造逻辑功能的数字集成电路。目前主要有两大类型:CPLD(Complex PLD)和FPGA(Field Programmable Gate Array)。它们的基本设计方法是借助于EDA软件,用原理图、状态机、布尔表达式、硬件描述语言等方法,生成相应的目标文件,最后用编程器或下载电缆,由目标器件实现。生产PLD的厂家很多,但最有代表性的PLD厂家为Altera、Xilinx和Lattice 公司。

PLD的开发工具一般由器件生产厂家提供,但随着器件规模的不断增加,软件的复杂性也随之提高,目前由专门的软件公司与器件生产厂家合作,推出功能强大的设计软件。下面介绍主要器件生产厂家和开发工具。

(1) ALTERA 20世纪90年代以后发展很快。主要产品有:MAX3000/7000、FELX6K/10K、APEX20K、ACEX1 K、Stratix等。其开发工具—MAX+PLUS II是较成功的PLD开发平台,最新又推出了Quartus II开发软件。Altera公司提供较多形式的设计输入手段,绑定第三方VHDL综合工具,如:综合软件FPGA Express、Le onard Spectrum,仿真软件ModelSim。

(2)ILINX FPGA的发明者。产品种类较全,主要有;XC9500/4000、Coolrunner(XPLA3)、Spartan、Vert ex等系列,其最大的 Vertex—II Pro器件已达到800万门。开发软件为Foundation和ISE。通常来说,在欧洲用Xilinx的人多,在***和亚太地区用ALTERA的人多,在美国则是平分秋色。全球PLD/FPGA产品60%以上是由Altera和Xilinx提供的。可以讲Altera和Xilinx共同决定了PLD 技术的发展方向。

(3)Lattice—Vantis Lattice是ISP(In—System Programmability)技术的发明者,ISP技术极大地促进了PLD产品的发展,与ALTERA和XILINX相比,其开发工具比Altera 和Xilinx略逊一筹。中小规模PLD比较有特色,大规模PLD的竞争力还不够强(Lattice没有基于查找表技术的大规模FPGA),1999年推出可编程模拟器件,1999年收购Vantis(原AMD子公司),成为第三大可编程逻辑器件供应商。2001

年12月收购Agere公司(原 Lucent微电子部)的FPGA部门。主要产品有ispLSI2000/5000/8000,MACH 4/5。

(4)ACTEL 反熔丝(一次性烧写)PLD的领导得,由于反熔丝PLD抗辐射、耐高低温、功耗低、速度快,所以在军品和宇航级上有较大优势。ALTERA和XILINX则一般不涉足军品和宇航级市场。

(5)Quicklogic专业PLD/FPGA公司,以一次性反熔丝工艺为主,在中国地区销售量不大。

(6)Lucent 主要特点是有不少用于通讯领域的专用IP核,但PLD/FPGA不是Lucent的主要业务,在中国地区使用的人很少。

(7)ATMEL 中小规模PLD做得不错。ATMEL也做了一些与Altera和Xilinx兼容的片子,但在品质上与原厂家还是有一些差距,在高可*性产品中使用较少,多用在低端产品上。

(8)Clear Logic 生产与一些著名PLD/FPGA大公司兼容的芯片,这种芯片可将用户的设计一次性固化,不可编程,批量生产时的成本较低。

(9)WSI 生产PSD(单片机可编程外围芯片)产品。这是一种特殊的PLD,如最新的PSD8xx、PSD9xx集成了PLD、EPROM、Flash,并支持ISP(在线编程),集成度高,主要用于配合单片机工作。

PLD (可编程逻辑器件)是一种可以完全替代74系列及GAL、PLA的新型电路,只要有数字电路基础,会使用计算机,就可以进行PLD的开发。PLD的在线编程能力和强大的开发软件,使工程师可以在几天,甚至几分钟内就可完成以往几周才能完成的工作,并可将数百万门的复杂设计集成在一颗芯片内。pld技术在发达国家已成为电子工程师必备的技术。

5、其它EDA软件

(1)VHDL语言超高速集成电路硬件描述语言(VHSIC Hardware Deseription Languagt,简称VHDL),是IEEE的一项标准设计语言。它源于美国国防部提出的超高速集成电路(Very High Speed Integrated Circuit,简称VHSIC)计划,是ASIC设计和PLD设计的一种主要输入工具。

(2)Veriolg HDL 是Verilog公司推出的硬件描述语言,在ASIC设计方面与VHDL语言平分秋色。

(3)其它EDA软件如专门用于微波电路设计和电力载波工具、PCB制作和工艺流程控制等领域的工具,在此就不作介绍了。

EDA的应用

EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。

在教学方面,几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。主要是让学生了解EDA的基本概念和基本原理、掌握用HDL语言编写规范、掌握逻辑综合的理论和算法、使用EDA工具进行电子电路课程的实验并从事简单系统的设计。一般学习电路仿真工具(如EWB、PSPICE)和PLD开发工具(如Al tera/Xilinx的器件结构及开发系统),为今后工作打下基础。

科研方面主要利用电路仿真工具(EWB或PSPICE)进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。

在产品设计与制造方面,包括前期的计算机仿真,产品开发中的EDA工具应用、系统级模拟及测试环境的仿真,生产流水线的EDA技术应用、产品测试等各个环节。如PCB的制作、电子设备的研制与生产、电路板的焊接、ASIC的流片过程等。

从应用领域来看,EDA技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA有应用。另外,EDA软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途。如AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图,汽车和飞机的模型、电影特技等领域。

EDA技术的发展趋势

从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用文泛、工具多样、软件功能强大。

中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PC主板和小型ASIC领域,仅有小部分(约11%)的设计人员工发复杂的片上系统器件。为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要购入一些最新的EDA技术。

在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、制造资源计划(MRPII)及企业资源管理(ERP)等。有条件的企业可开展“网络制造”,便于合作设计、合作制造,参与国内和国际竞争。开展“数控化”工程和“数字化”工程。自动化仪表的技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。在A SIC和PLD设计方面,向超高速、高密度、低功耗、低电压方向发展。

外设技术与EDA工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。

中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA市场的竞争。

在EDA软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。***、韩国都有ASIC设计工具,但不对外开放。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具有各地开花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了50%和30%。

EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的应用广泛,现在已涉及到各行各业。EDA水平不断提高,设计工具趋于完美的地步。EDA市场日趋成熟,但我国的研发水平沿很有限,需迎头赶上。

EDA技术实用教程-VHDL版课后答案

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL 程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 第二章 2-1 叙述EDA的FPGA/CPLD设计流程。P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么?答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP 通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 2-3 叙述ASIC的设计方法。P18~19 答:ASIC设计方法,按版图结构及制造方法分有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。 全定制方法是一种基于晶体管级的,手工设计版图的制造方法。 半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。 2-4 FPGA/CPLD在ASIC设计中有什么用途? P16,18 答:FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。 2-5 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。 P19~23答:基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:设计输入编辑器(作用:接受不同的设计输

《EDA技术及应用》朱正伟-三,四,五章部分课后题答案

第三章 3-5设计一个4选1多路选择器,当选择输入信号分别取“00”、“01”、“10”和“11”时,输出信号分别与一路输入信号相连。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MAX4_1 IS PORT(A,B,C,D,S1,S2 : IN STD_LOGIC; Y : OUT STD_LOGIC); END ENTITY MAX4_1; ARCHITECTURE HF1 OF MAX4_1 IS SIGNAL SS : STD_LOGIC_VECTOR (0 TO 1); BEGIN SS<=S2&S1; PROCESS(SS) BEGIN CASE SS IS WHEN "00" => Y<=A; WHEN "01" => Y<=B; WHEN "10" => Y<=C; WHEN "11" => Y<=D; WHEN OTHERS => NULL; END CASE; END PROCESS; END HF1; 3-6设计一个7人表决电路,参加表决者7人,同意为1,不同意为0,同意者过半则表决通过,绿指示灯亮;表决不通过则红指示灯亮。设计思路:根据7人表决电路设计要求,7人中至少有4个通过才可以表决通过,故可以在程序中设置一个变量TEMP,使其在表决电路中遇1则加1,遇0则加0(设计中1表示通过,0表示不通过)。当TEMP>=4时,表示表决通过,当TEMP<4时表决不通过。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY VOTE7 IS PORT (MEN:IN STD_LOGIC_VECTOR(6 DOWNTO 0); OUTPUT: OUT BIT); END VOTE7; ARCHITECTURE BEHA VE OF VOTE7 IS BEGIN PROCESS(MEN) V ARIABLE TEMP: INTEGER RANGE 0 TO 7; BEGIN TEMP:=0; FOR I IN 0 TO 6 LOOP IF(MEN(I)='1')THEN TEMP:=TEMP+1;

1什么是EDA技术

1什么是EDA技术? EDA技术是现代电子信息工程领域中一门新技术,它提供了一种基于计算机和信息技术为一体的电子系统设计方法,它的发展和推广极大地推动了电子工业的发展,已成为电子工业中不可缺少的一项主要技术。 2基于EDA技术的电子系统设计有哪些特点? 以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机和PLD实验开发系统为设计工具,通过相关的开发软件,自动完成电子系统设计,最终形成集成电子系统或专用集成芯片。 3基于可编程逻辑器件的EDA技术,主要包括哪几方面的内容? 大规模可编程逻辑器件,硬件描述语言,软件开发工具,实验开发工具。 4EDA技术的发展经历了哪几个阶段?每个阶段有什么特征? CAD阶段,设计人员主要借助计算机完成PCB板的布局布线设计,简单的版图绘制,以及电路性能的模拟,逻辑仿真和预测。CAED阶段,进行系统的设计描述综合与优化设计结果的验证,以及自动布局布线等。ESDA阶段,ESDA工具是以系统设计为核心,不仅具有电子系统设计的能力,而且还具有高级抽象的设计构思手段。 5目前较为流行的EDA开发工具?AITERA公司的MAX+PLUS2和QUARTUS2,LATTICE公司的ispDesignEXPERT和ispLEVER,Xilinx公司的Foundation和ISE等。 6什么是可编程逻辑器件?他们有哪些类型? 可编程逻辑器件是一种由用户借助计算机编程,来实现某一逻辑功能的器件。按集成度分类:LDPLD和HDPLD,按编程工艺分类:熔丝或反熔丝编程器件,浮栅编程器件,SRAM编程器件,按基本结构分类:阵列型单元型器件,按逻辑单元分类:与或阵列宏单元查找表多路开关。 7简述可编程逻辑器件的发展历程及其特点?可编程逻辑器件经历了从PROM,PLA,PAL,GAL到EPLD,CPLD,FPGA 的发展过程,不仅在结构工艺集成度功能速度等方面有了很大的改进,而且在稳定性可靠性灵活性上也有了显著提高。 8FPGA和CPLD的中文含义是什么?他们有什么区别? 现场可编程门阵列器件,复杂可编程逻辑器件。 FPGA采用查找表方式工作设计,CPLD采用乘积项方式工作设计。 9什么事SOC?什么是SOPC? 系统芯片,可编程系统芯片。 11FPGA和CPLD各有什么特点?两者在储存信息方面有什么区别? FPGA具有密度高编程速度快设计灵活和可重新配置等优点。CPLD使用更方便,设计更灵活,发展也更迅速。 FPGA基于SRAM技术,掉电后内部配置丢失,要外加配置芯片,CPLD基于FLASH 技术,掉电后内部配置不丢失 12什么是硬件描述语言? 是一种用文本的形式来描述硬件电路的功能内部结构信号连接关系和定时关系语言。13常用的硬件描述语言有哪几种?他们在逻辑描述方面有什么区别? VHDL,Verilog HDL,ABEL-HDL和AHDL VHDL采用模块化的设计方法具有更高的抽象层和系统描述能力。Verilog HDL适合于RTL和门电路级的描述。ABEL-HDL支持各种行为的输入方式和层次结构的逻辑描述。AHDL适合于描述复杂的组合逻辑,组运算,状态机,真值表和参数化的逻辑。 14VHDL语言的全称是什么? 超高速集成电路硬件描述语言。 15目前被IEEE接纳的硬件描述语言有哪几种?他们是什么时候成为IEEE标准的?VHDL和Verilog DHL两种。VHDL1987年12月Verilog HDL1995年 17什么叫逻辑功能部件?什么叫数字系统?他们有什么区别? 通常将逻辑门电路和触发器等单元电路称为逻辑器件,而将有这些逻辑器件组成的能完成某单一功能的电路称为逻辑功能部件。

《EDA技术及应用》全套教学教案

单元一教学设计 教学内容: 单元一EDA技术 学习任务1 EDA技术 一、认识课程 二、认识EDA技术 三、认识EDA技术的基本特征 学习任务2 可编程逻辑器件芯片 一、认识可编程逻辑器件 二、CPLD基本结构 三、FPGA基本结构 四、Altera公司的可编程逻辑器件汇报总结 评价与考核

教学设计与建议 教学设计:通过学习和查阅资料了解EDA技术,了解EDA技术的基本特征,并熟悉可编程逻辑器件的种类。了解CPLD和FPGA基本结构,熟悉 Altera公司的可编程逻辑器件。 教学建议:建议学生查找EDA技术发展与可编程逻辑器件应用相关资料,进行总结制作PPT,并进行汇报。 知识目标: 1.了解EDA技术 2.了解EDA技术的基本特征 3.了解可编程逻辑器件的种类 4.了解CPLD基本结构 5.了解FPGA基本结构 6.了解Altera公司的可编程逻辑器件 教学重点及难点: 教学重点:可编程逻辑器件种类 教学难点:熟悉Altera公司的可编程逻辑器件 教学载体与资源: 教学资源:教材、PPT、实训室、多媒体设备。 教学方法建议: 讲授与讨论相结合,查阅资料总结汇报。 教学过程: 1.下达任务和要求 2. 教师带领学生共同解析任务 3.学生展开讨论

4.学生查阅资料 5. 总结汇报 考核评价: 1.根据知识掌握情况评价 2.根据资料查找能力和小组汇报情况评价 教学板书: 任务1:EDA技术 认识课程 1.EDA技术是什么? 2.为什么学习EDA技术? 3.EDA技术学什么? 4.EDA技术怎么学? 相关知识 1.认识EDA技术 EDA(Electronic Design Automation,电子设计自动化)技术是帮助电子设计工程师在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至PCB(印制电路板)的自动设计等。 2.认识EDA技术的基本特征 (1)“自顶向下”设计方法 (2)硬件描述语言 (3)逻辑综合和优化 (4)开放性和标准化 (5)库的引入

数字系统设计与verilog HDL课程设计

数字系统设计与verilog HDL课程设计 设计题目:实用多功能数字钟 专业:电子信息科学与技术 班级:0313410 学号:031341025 姓名:杨存智 指导老师:黄双林

摘要 本课程设计利用QuartusII软件Verilog VHDL语言的基本运用设计一个多功能数字钟,经分析采用模块化设计方法,分别是顶层模块、alarm、alarm_time、counter_time、clk50mto1、led、switch、bitel、adder、sound_ddd、sound_ddd_du模块,再进行试验设计和软件仿真调试,分别实现时分秒计时、闹钟闹铃、时分秒手动校时、时分秒清零,时间保持和整点报时等多种基本功能。 单个模块调试达到预期目标,再将整体模块进行试验设计和软件仿真调试,已完全达到分块模式设计功能,并达到设计目标要求。 关键字:多功能数字钟、Verilog、模块、调试、仿真、功能

目录 1.课程设计的目的及任务............................................................. 错误!未定义书签。 1.1 课程设计的目的 (3) 1.2 课程设计的任务与要求 (4) 2.课程设计思路及其原理 (4) 3.QuartusII软件的应用 (5) 3.1工程建立及存盘 (5) 3.2工程项目的编译 (5) 3.3时序仿真 (6) 4.分模块设计、调试、仿真与结果分析 (7) 4.1 clk50mto1时钟分频模块 (7) 4.2 adder加法器模块 (7) 4.3 hexcounter16 进制计数器模块 (7) 4.4 counter_time 计时模块 (8) 4.5 alarm闹铃模块 (8) 4.6 sound_ddd嘀嘀嘀闹铃声模块 (9) 4.7 sound_ddd_du嘀嘀嘀—嘟声音模块 (9) 4.8 alarm_time闹钟时间设定模块 (10) 4.9 bitsel将输出解码成时分秒选择模块 (10) 4.10 switch去抖模块 (11) 4.11 led译码显示模块 (11) 4.12 clock顶层模块 (12) 5.实验总结 (13) 5.1调试中遇到的问题及解决的方法 (13) 5.2实验中积累的经验 (14) 5.3心得体会 (14) 6.参考文献 (14) 1.1 课程设计的目的 通过课程设计的锻炼,要求学生掌握V erilog HDL语言的一般设计方法,掌握VerilogHDL语言的基本运用,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,基于实践、源于实践,实践出真知,实践检验真理,培养学生的

什么是EDA技术

1.什么是EDA技术? 答:EDA是指电子设计自动化。指以PLD为设计载体,以HDL为系统逻辑描述的主要方式,以计算机、开发软件、开发系统为设计工具,通过相关的开发软件,自动完成将用软件方式设计的电子系统转化成硬件电路,最终形成集成电子系统或ASIC的一门新技术。 2.一般把EDA技术的发展分为CAD、CAE和EDA三个阶段,并向着ESDA方向发展。3.EDA技术的精髓是什么?它们各自的含义是什么? 答:精髓是建模、仿真、综合。建模指的是用硬件描述语言描述电路的功能;仿真指的是验证所建模型的电路功能;综合是指把软件模型转化为硬件电路。 4.EDA技术在应用设计领域主要包含哪四个方面的内容(1)HDL (2)PLD (3)EDA工具软件(4)EDA开发系统。 5.EDA技术的基本特征(1)自顶向下的设计方法;(2)采用硬件描述语言;(3)高层综合和优化;(4)并行工程;(5)开放性和标准化。 6.当前最流行的并成为IEEE标准的硬件描语言是V HDL和Verilog-HDL。 7.什么是PLD? 答: PLD,Programmable-Logic-Device,即可编程逻辑器件。是一种具有内建结构、由用户编程以实现某种逻辑功能的新型逻辑器件。 8.SPLD的基本结构框图是什么? 9.一般CPLD器件至少包含可编程逻辑宏单元,可编程I/O单元和可编程内部连线3种基本结构。 一般FPGA器件至少包含可编程逻辑功能块/CLB、IOB/可编程I/O块和PI/可编程内部互连三类可编程资源。 10.用PROM完成半加器/全加器的示意图。 11.使用方框图示意出采用硬件描述语言设计硬件电路进行由上而下的设计的三个层次为: 12.可编程逻辑器件的发展趋势在哪5个方面(1)向更大规模、更高集成度的片上系统方向发展(2)向低电压、低功耗的绿色器件方向发展(3)向更高速可预测延时的方向发展(4)向在PLD内嵌入多种功能模块的方向发展(5)向模数混合可编程的方向发展13.目前,在PLD器件制造与生产领域的三大公司为Altera、Xilinx和Lattice 14.FPGA的发明者是Xilinx公司;ISP编程技术的发明者是Lattice公司。 16、目前常见的可编程逻辑器件的编程和配置工艺包括基于E2PROM/Flash技术、基于 SRAM查找表的编程单元和基于反熔丝编程单元。 17、基于EPROM、E2PROM和快闪存储(flash)器件的可编程器件,在系统断电后编程信

eda技术的应用及发展

EDA技术的应用 摘要:随着科技的不断进步与发展,EDA (Electronic Design Automation)即电子设计自动化技术与我们的生活的交集越来越密切。随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿之一。本文简单的介绍EDA技术的发展应用及在未来的发展。 关键字:EDA,技术,应用, Abstract:With the advancement and development of technology,( Electronic Design Automation ) electronic design automation technology and our life is more and more close intersection. With microelectronic technology and the continuous development of computer technology, communication, national defense, involved in aerospace, industrial automation, instrumentation and other areas of work, EDA technical content is increasing at an alarming speed, so as to make it become the forefront of the development of electronic technology. This paper briefly introduced the development of EDA technology, application, and the future. Key world: EDA ,development , 一、前言 1·随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿之一。现代电子产品的性能提高、集成度和精密度不断的增加,电子产品更新换代的节奏越来越快。采用传统的电子设计方法设计电路越来越困难,EDA 技术提高了电路的设计效率和可靠性,减少了劳动强度,给电子系统设计带来了革命性的变化。 2·EDA:EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL(Hardware Description language)完成的设计

中南大学EDA VerilogHDL试题 附答案

一、填空题(10分,每小题1分) 1.用EDA技术进行电子系统设计的目标是最终完成的设计与实现。 2.可编程器件分为和。 3.随着EDA技术的不断完善与成熟,的设计方法更多的被应用于 Verilog HDL设计当中。 4.目前国际上较大的PLD器件制造公司有和公司。 5.完整的条件语句将产生电路,不完整的条件语句将产生电路。 6.阻塞性赋值符号为,非阻塞性赋值符号为。 二、选择题(10分,每小题2分) 1.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述 中,正确的是。 A.FPGA全称为复杂可编程逻辑器件; B.FPGA是基于乘积项结构的可编程逻辑器件; C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 2.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→综合 →_____→→适配→编程下载→硬件测试。正确的是。 ①功能仿真②时序仿真③逻辑综合④配置⑤分配管脚 A.③①B.①⑤C.④⑤D.④② 3.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行 速度(即速度优化);指出下列哪些方法是面积优化。 ①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法 A.①③⑤B.②③④C.②⑤⑥D.①④⑥ 4.下列标识符中,__________是不合法的标识符。 A.9moon B.State0 C.Not_Ack_0 D.signall 5.下列语句中,不属于并行语句的是:_______ A.过程语句B.assign语句C.元件例化语句D.case语句 三、EDA名词解释(10分)

EDA课后答案(适用于《EDA技术及应用》)

1.1、设计集成计数器74161,设计要求如下: 4-BIT BINARY UP COUNTER WITH SYNCHRONOUS LOAD AND ASYNCHRONOUS CLEAR NOTE INPUTS: CLK LDN CLRN D C B A OUTPUTS:QD QC QB QA RCO *RCO = QD & QC & QB & QA LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT4 IS PORT( CLK,LDN,CLRN : IN STD_LOGIC; D,C,B,A : IN STD_LOGIC; CARRY : OUT STD_LOGIC; QD,QC,QB,QA : OUT STD_LOGIC ); END; ARCHITECTURE A OF CNT4 IS SIGNAL DATA_IN: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN DATA_IN<=D&C&B&A; PROCESS(DATA_IN,CLK,LDN,CLRN) VARIABLE CNT:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF CLRN='0' THEN CNT:=(OTHERS=>'0'); ELSIF CLK'EVENT AND CLK='1' THEN IF LDN='0' THEN CNT:=DATA_IN; ELSE CNT:=CNT+1; END IF; END IF; CASE CNT IS WHEN "1111"=> CARRY<='1'; WHEN OTHERS=> CARRY<='0'; END CASE; QA<=CNT(0); QB<=CNT(1); QC<=CNT(2); QD<=CNT(3); END PROCESS; END A;

EDA技术试题库完整

EDA技术题库 一.填空题 1. Verilog的基本设计单元是模块。它是由两部分组成,一部分描述接口;另一部分描述逻辑功能,即定义输入是如何影响输出的。 2. 用assign描述的语句我们一般称之为组合逻辑,并且它们是属于并行语句,即于语句的书写次序无关。而用always描述的语句我们一般称之为组合逻辑或时序逻辑,并且它们是属于串行语句,即于语句的书写有关。 3.在case语句中至少要有一条default语句。 4. 已知x=4’b1001,y=4’0110,则x的4位补码为4’b1111,而y的4位的补码为4’b0110 。 5. 两个进程之间是并行语句。而在Always中的语句则是顺序语句。 6.综合是将高层次上描述的电子系统转换为低层次上描述的电子系统,以便于系统的具体硬件实现。综合器是能自动将高层次的表述(系统级、行为级)转化为低层次的表述(门级、结构级)的计算机程序 7. 设计输入的方式有原理图、硬件描述语言、状态图以及波形图。 8.按照仿真的电路描述级别的不同,HDL仿真器可以完成:系统级仿真,行为级仿真,RTL 级仿真,门级(时序)仿真。按照仿真是否考虑硬件延时分类,可以分为:功能仿真和时序仿真。仿真器可分为基于元件(逻辑门)仿真器和基于HDL语言的仿真器 9. IP核是知识产权核或知识产权模块,在EDA技术中具有十分重要的地位。半导体产业的IP定义为用于ASIC或FPGA中的预先设计好的电路功能模块。IP分为软IP、固IP和硬IP。 10.可编程逻辑器件PLD是一种通过用户编程或配置实现所需逻辑功能的逻辑器件,也就是说用户可以根据自己的需求,通过EDA开发技术对其硬件结构和工作方式进行重构,重新设计其逻辑功能

基于EDA技术的现代电子设计方法

基于EDA技术的现代电子设计方法 【摘要】人类现已进入高度发达的信息化时代。信息技术的核心是基于微电子技术的大规模集成电路,而大规模集成电路的核心技术是以计算机为平台的EDA技术。本文简要介绍了利用EDA技术设计电路的流程,并运用QuartusⅡ软件通过实例介绍了利用EDA技术进行电子设计的方法。 【关键词】EDA技术;QuartusⅡ;电子设计;VHDL 1.引言 集成电路设计不断向超大规模、低功率、超高速方向发展,其核心技术是基于EDA技术的现代电子设计技术。EDA(Electronic Design Automation,电子设计自动化)技术,以集成电路设计为目标,以可编程逻辑器件(如CPLD、FPGA)为载体,以硬件描述语言(VHDL、VerilogHDL)为设计语言,以EDA软件工具为开发环境,利用强大计算机技术来辅助人们自动完成逻辑化和仿真测试,直到既定的电子产品的设计完成。其融合了,大规模集成电路制造技术、计算机技术、智能化技术,可以进行电子电路设计、仿真,PCB设计,CPLD/FPGA设计等。简言之,EDA技术可概括为在开发软件(本文用QuartusⅡ)环境里,用硬件描述语言对电路进行描述,然后经过编译、仿真、修改环节后,最终下载到设计载体(CPLD、FPGA)中,从而完成电路设计的新技术。 以EDA技术为核心的现代电子设计方法和传统的电子设计方法相比有很大的优点,两种设计方法的流程如下图: 图1 传统电子设计流程图 图2 基于EDA的现代电子设计流程图 比较两种设计方法,基于EDA技术的现在电子设计方法采用自上而下的设计方法,系统设计的早期便可进行逐层仿真和修改,借助计算机平台,降低了电路设计和测试的难度,极大程度地缩短了电子产品的设计周期、节约了电子产品的设计成本。DEA技术极大的促进了现代电子技术的发展,已成为现代电子技术的核心。 2.QuartusⅡ软件开发环境介绍 QuartusⅡ软件是Alter公司开发的综合性EDA工具软件,提供了强大的电子设计功能,充分发挥了FPGA、CPLD和结构化ASIC的效率和性能,包含自有的综合器及仿真器,支持原理图、VHDL、VerilogHDL等多种设计输入,把设计、布局布线和验证功能以及第三方EDA工具无缝的集成在一起。QuartusⅡ与Alter公司的上一代设计工具MAX+plusⅡ具有一定的相似性,和继承性。使熟悉MAX+plusⅡ开发环境的设计人员可以快速熟练应用。相比之下,QuartusⅡ软

EDA技术应用及发展前景介绍

EDA技术应用及发展前景介绍 EDA技术应用及发展前景介绍 电子设计技术的核心就是EDA技术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段。 一、EDA技术的基本特征 EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。 1.“自顶向下”的设计方法10年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。 高层次设计是一种“自顶向下”的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次成功率。 2.ASIC设计现代电子产品的复杂度日益提高,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题。解决这一问题的有效方法就是采用ASIC芯片进行设计。ASIC按照设计方法的不同可分为全定制ASIC、半定制ASIC和可编程ASIC(也称为可编程逻辑器件)。 设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC厂家去进行掩模制造,做出产品。这种设计方法的优点是芯片可以获得最优的性能,即面积利用率高、速度快、功耗低,而缺点是开发周期长,费用高,只适合

基于EDA技术进行的数字电路设计

基于EDA技术进行的数字电路设计 基于EDA技术进行的数字电路设计 EDA技术在数字系统中应用以基于ALTEraEPM7128SLC84-15芯片 和MAXPlusII10.0软件平台数字钟设计为例,讨论EDA技术在数字 系统中具体应用。 1、EDA技术设计流程 在设计方法上,EDA技术为数字电子电路设计领域带来了根本性 变革,将传统“电路设计硬件搭试调试焊接”模式转变为在计算机 上自动完成。 2、设计要求 具有时、分、秒、计数显示功能,以24小时循环计时。具有清 零和调节小时、分钟功能。具有整点报时功能。 3、输入设计源文件 一个设计项目由一个或多个源文件组成,它们可以是原理图文件、硬件描述语言文件、混合输入文件,点击Source/New菜单,选择你 所要设计源文件类型,进入设计状态,完成源文件设计,存盘、退出;另在一张原理图编辑器窗口中,通过File/MatchingSymbol菜单,建立一张原理图符号,生成一个与原理图文件相同名、相同功能逻 辑宏元件,它自动加到元件列表中,可以在更高层图纸中反复调用; 4、逻辑编译 逻辑编译选择器件EPM7128SLC84-15,使用MAXPlusⅡ编译器编 译设计项目,通过编译器自动进行错误检查、网表提取、逻辑综合、器件适配,最终产生器件编程文件(。jed)。 5、综合

综合就是利用EDA软件系统综合器将VHDL软件设计与硬件可实 现性挂钩,这是将软件转化为硬件电路关键步骤。综合器对源文件 综合是针对某一FPGA/CPID供应商产品系列。因此,综合后结果具 有硬件可实现性。EDA提供了良好逻辑综合与优化功能,它能够将 设计人员设计逻辑级电路图自动地转换为门级电路,并生成相应网 表文件、时序分析文件和各种报表,若设计没有错误,最终可生成 可以编程下载。SOF文件。 6、器件适配 综合通过后必须利用FPGA/CPLD布局/布线适配器将综合后网表 文件针对某一具体目标器件进行逻辑映射操作,其中包括底层器件 配置、逻辑分割、逻辑优化、布局布线等操作。适配后产生时序仿 真用网表文件和下载文件,如JED或POF文件。适配对象直接与器 件结构细节相对应。 7、功能仿真 通常,在设计过程中每一个阶段都要进行仿真验证其正确性。在综合前,要进行行为仿真,将VHDI源程序直接送到VHDI仿真器中 仿真,此时仿真只是根据VHDI语义进行,与具体电路没有关系。综 合后,可利用产生网表文件进行功能仿真,以便了解设计描述与设 计意图一致性。功能仿真仅对设计描述逻辑功能进行测试模拟,以 了解其实现功能是否满足原设计要求,仿真过程不涉及具体器件硬 件特性,如延迟特性。时序仿真根据适配后产生网表文件进行仿真,是接近真实器件运行仿真,仿真过程中已将器件硬件特性考虑进去了,因此仿真精度要高得多。时序仿真网表文件中包含了较为精确 延迟信息。 8、编程下载 通过仿真确定设计基本成功后,即可通过Byteblaster下载电缆线将设计项目以JTAG方式下载到器件中,完成设计所有工作。通过 此例设计流程讲述可知,EDA技术及其工具在数字电路系统(包括模 拟电路系统)中正发挥着越来越重要作用,其应用深度和广度正在向 更深层次延伸。

基于EDA技术进行数字电路设计

基于EDA技术进行数字电路设计基于EDA技术进行数字电路设计 1、EDA技术设计流程 在设计方法上,EDA技术为数字电子电路设计领域带来了根本性 变革,将传统“电路设计硬件搭试调试焊接”模式转变为在计算机 上自动完成。 2、设计要求 具有时、分、秒、计数显示功能,以24小时循环计时。具有清 零和调节小时、分钟功能。具有整点报时功能。 3、输入设计源文件 一个设计项目由一个或多个源文件组成,它们可以是原理图文件、硬件描述语言文件、混合输入文件,点击Source/New菜单,选择你 所要设计源文件类型,进入设计状态,完成源文件设计,存盘、退出;另在一张原理图编辑器窗口中,通过File/MatchingSymbol菜单,建立一张原理图符号,生成一个与原理图文件相同名、相同功能逻 辑宏元件,它自动加到元件列表中,可以在更高层图纸中反复调用; 4、逻辑编译 逻辑编译选择器件EPM7128SLC84-15,使用MAXPlusⅡ编译器编 译设计项目,通过编译器自动进行错误检查、网表提取、逻辑综合、器件适配,最终产生器件编程文件(。jed)。 5、综合 综合就是利用EDA软件系统综合器将VHDL软件设计与硬件可实 现性挂钩,这是将软件转化为硬件电路关键步骤。综合器对源文件 综合是针对某一FPGA/CPID供应商产品系列。因此,综合后结果具

有硬件可实现性。EDA提供了良好逻辑综合与优化功能,它能够将 设计人员设计逻辑级电路图自动地转换为门级电路,并生成相应网 表文件、时序分析文件和各种报表,若设计没有错误,最终可生成 可以编程下载。SOF文件。 6、器件适配 综合通过后必须利用FPGA/CPLD布局/布线适配器将综合后网表 文件针对某一具体目标器件进行逻辑映射操作,其中包括底层器件 配置、逻辑分割、逻辑优化、布局布线等操作。适配后产生时序仿 真用网表文件和下载文件,如JED或POF文件。适配对象直接与器 件结构细节相对应。 7、功能仿真 通常,在设计过程中每一个阶段都要进行仿真验证其正确性。在综合前,要进行行为仿真,将VHDI源程序直接送到VHDI仿真器中 仿真,此时仿真只是根据VHDI语义进行,与具体电路没有关系。综 合后,可利用产生网表文件进行功能仿真,以便了解设计描述与设 计意图一致性。功能仿真仅对设计描述逻辑功能进行测试模拟,以 了解其实现功能是否满足原设计要求,仿真过程不涉及具体器件硬 件特性,如延迟特性。时序仿真根据适配后产生网表文件进行仿真,是接近真实器件运行仿真,仿真过程中已将器件硬件特性考虑进去了,因此仿真精度要高得多。时序仿真网表文件中包含了较为精确 延迟信息 8、编程下载 通过仿真确定设计基本成功后,即可通过Byteblaster下载电缆线将设计项目以JTAG方式下载到器件中,完成设计所有工作。通过 此例设计流程讲述可知,EDA技术及其工具在数字电路系统(包括模 拟电路系统)中正发挥着越来越重要作用,其应用深度和广度正在向 更深层次延伸。 9、目标系统

EDA技术及应用【朱正伟】第五章课后习题部分答案

1.试说明实体端口模式BUFFER和INOUT的不同之处? 答:BUFFER端口:缓冲模式,具有读功能的输出模式,即信号输出到实体外部,但同时也在内部反馈使用,不允许作为双向端口使用。而INOUT端口:双向模式,即信号的流通是双向的,既可以对此端口赋值,也可以通过此端口读入数据。 2.VHDL的数据对象有哪几种?它们之间有什么不同? 答:VHDL的数据对象有三种:信号、变量、常量。它们之间的的区别如下: 信号赋值至少有δ延时,而变量和常量没有;信号除当前值外,有许多相关信息,变量只有当前值,常量的值在设计实体中始终不变;进程对信号敏感而对变量及常量不敏感;信号可以是多个进程的全局信号,变量只在定义它们的顺序域可见,而常量的使用范围取决于它被定义的位置;信号是硬件连线的抽象描述信号赋值,赋值符号 <= 而变量和常量的赋值符号 :=。 3.说明下列各定义的意义: SIGNAL a , b , c : BIT : =’0’; CONSTANT TIME1 , TIME2 : TIME : 20ns ; VARIABLE x , y , z : STD_LOGIC :=’x’; 答:○1定义3个位数据类型的信号a、b、c,它们取值为0; ○2定义2个时间数据类型的常量TIME1、TIME2,它们值为20ns; ○3定义3个标准逻辑位 STD_LOGIC数据类型的变量x、y、z,它们的值是强未知的。 4.什么是重载函数?重载运算符有何用处?如何调用重载运算符函数? 答:为了方便各种不同数据类型间的运算,VHDL允许用户对原有的基本操作符重新定义,赋予新的含义和功能,从而建立一种新的操作符,这就是重载操作符,定义这种操作符的函数成为重载函数。重载运算符的作用是为了方便各种不同的数据类型间的运算。要调用重载运算符函数,先要在程序包中进行函数体的定义,调用的格式如下:

EDA技术及应用实验报告(完整版纯手打)

《EDA技术及应用》 实验报告 系部: 指导教师: 学号: 姓名:

实验一 点亮LED 设计 一、实验目的 通过此实验让用户逐步了解、熟悉和掌握FPGA 开发软件QuartusII 的使用方法及Verilog HDL 的编程方法。 本实验力求以详细的步骤和讲解让读者以最快的方式了解EDA 技术开发以及软件的使用,从而快速入门并激起读者对EDA 技术的兴趣。 二、实验内容 SmartSOPC 实验箱上有8个发光二极管LED1~8,并分别与FPGA 的50、53~55、176和47~49引脚相连。本实验的内容是建立可用于控制LED 亮/灭的简单硬件电路,要求点亮SmartSOPC 实验箱上的4个发光二极管(LED1、LED3、LED5和LED7)。 三、实验原理 FPGA 器件同单片机一样,为用户提供了许多灵活独立的输入/输出I/O 口(单元)。FPGA 每个I/O 口可以配置为输入、输出、双向I/O 、集电极开路和三态门等各种组态。作为输出口时,FPGA 的I/O 口可以吸收最大为24mA 的电流,可以直接驱动发光二极管LED 等器件。所以只要正确分配并锁定引脚后,在相应的引脚上输出低电平“0”,就可以实现点亮该发光二级管的功能。 四、实验步骤 1、启动Quarters II 建立一个空白工程,命名为led_test.qpf 。然后分别建立图形设计文件,命名为led_test.bdf ,以及文本编辑文件led1.v ,将他们都添加进工程中。 2、对工程进行设计。在led1.v 中输入程序代码,并保存,进行综合编译,若在编译中发现错误,则找出并更正错误,直至编译成功为止。从设计文件中创建模块,由led1.v 生成名为led1.bsf 的模块符号文件。在led_test.bdf 中任意空白处双击鼠标左键,将symbol 对话框中libraries :project 下的led1模块添加到图形文件led_test.bdf 中,加入输入、输出引脚,双击各管脚符号,进行管脚命名。完整的顶层模块原理图如下图所示。选择目标器件并进行引脚锁定。将未使用的管脚设置为三态输入。 led[7..0] OUTPUT led[7..0] led1 inst 3、设置编译选项并编译硬件系统。将led_test.bdf 设置为顶层实体。对该工程文件进行全程编译处理。若在编译过程中发现错误,则找出并更正错误,直到编译成功为止。 4、下载硬件设计到目标FPGA 。将产生的led_test.sof 输出对FPGA 进行配置。 5、观察LED 的状态。 五、实验程序 //利用连续赋值assign 语句实现 module led1(led); //模块名 led1 output[7:0] led; //定义输出端口、 assign led =8'b10101010; //输出0xAA endmodule //利用过程赋值语句实现(暂时已屏蔽)

《EDA技术与Verilog_HDL》清华第2版习题1

第章EDA技术概述

1-1 EDA技术与ASIC设计和FPGA开发有什么关系?FPGA在ASIC设计中有什么用途? 答:EDA技术进行电子系统设计的最后目标,是完成专用集成电路(ASIC)的设计和实现,FPGA是实现这一途径的主流器件,它们的特点是直接面向用户、具有极大的灵活性和通用性、使用方便、硬件测试和实现快捷、开发效率高、成本低、上市时间短、技术维护简单、工作可靠性好等。FPGA的应用是EDA技术有机融合软硬件电子设计技术、ASIC设计,以及对自动设计与自动实现最典型的诠释。 1-2 与软件描述语言相比,Verilog有什么特点? 答:Verilog语言的特点: (1)按照设计目的,Verilog程序可以划分为面向仿真和面向综合两类,而可综合的Verilog程序能分别面向FPGA和ASIC开发两个领域。 (2)能在多个层次上对所设计的系统加以描述,从开关级、门级、寄存器传输级(RTL)至行为级都可以加以描述。 (3)灵活多样的电路描述风格。

1-3 什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么?答:综合(Synthesis),就其字面含义应该是:把抽象的实体结合成单个或统一的实体。 在电子设计领域,综合的概念可以表述为:将用行为和功能层次表达的电子系统转换为低层次的、便于具体实现的模块组合装配的过程。 (1)从自然语言转换到Verilog语言算法表述,即自然语言综合。 (2)从算法表述转换到寄存器传输级(Register Transport Level,RTL)表述,即从行为域到结构域的综合,也称行为综合。 (3)从RTL级表述转换到逻辑门(包括触发器)的表述,即逻辑综合。(4)从逻辑门表述转换到版图级表述(如ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合器就是能够将一种设计表述形式自动向另一种设计表述形式转换的计算机程序,或协助进行手工转换的程序。它可以将高层次的表述转化为低层次的表述,可以将行为域转化为结构域,可以将高一级抽象的电路描述(如算法级)转化为低一级的电路描述(如门级),并可以用某种特定的“技术”(如CMOS)实现。

相关文档
相关文档 最新文档