文档库 最新最全的文档下载
当前位置:文档库 › STM32F205-F405时钟配置理解

STM32F205-F405时钟配置理解

STM32F205-F405时钟配置理解
STM32F205-F405时钟配置理解

STM32F2系列时钟配置截图

●选择外部时钟,一般选用8M

●PLLM:PLLM系数功能作用如下:即主PLL的分频系数,选为8,即将外部时钟8M/8=1M,

输入到主倍频

●PLLN:主倍频系数,为240,那么倍频后为240M

●PLLP:PLL倍频之后分频系数,供给主时钟

●PLLQ:全速USB时钟

STM32F4配置函数:

25M时钟

PLLM=8

PLLN=336

PLLP=2,

则F4主时钟为:(25/25)*336/2=168M

可以根据选择外部时钟源频率选择以上各个系数

SPI时钟模式的配置

SPI时钟模式的配置 【SPI基础知识简介】设备与设备之间通过某种硬件接口通讯,目前存在很多

种接口,SPI接口是其中的一种。 SPI中分Master主设备和Slave从设备,数据发送都是由Master控制。 —个master可以接一个或多个slave o 常见用法是一个Master接一个slave,只需要4根线: SCLK : Serial Clock,(串行)时钟 MISO : Master In Slave Out,主设备输入,从设备输出 MOSI : Master Out Slave In,主设备输出,从设备输入 SS: Slave Select,选中从设备,片选 SPI由于接口相对简单(只需要4根线),用途算是比较广泛,主要应用在EEPROM, FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间。 即一个SPI的Master通过SPI与一个从设备,即上述的那些Flash, ADC等,进行通讯。 而主从设备之间通过SPI进行通讯,首先要保证两者之间时钟SCLK要一致,互相要商量好了,要匹配,否则,就没法正常通讯了,即保证时序上的一致才可正常讯。 而这里的SPI中的时钟和相位,指的就是SCLk时钟的特性,即保证主从设备两者的时钟的特性一致了,以保证两者可以正常实现SPI通讯。

【SPI相关的缩写或说法】 先简单说一下,关于SPI中一些常见的说法: SPI的极性Polarity和相位Phase,最常见的写法是CPOL和CPHA,不过也有 —些其他写法,简单总结如下: (1)CKPOL (Clock Polarity) = CPOL = POL = Polarity =(时钟)极性 (2)CKPHA (Clock Phase) = CPHA = PHA = Phase =(时钟)相位 (3)SCK二SCLK二SPI 的时钟 ⑷Edge=边沿,即时钟电平变化的时刻,即上升沿(rising edge)或者下降沿 (falling edge) 对于一个时钟周期内,有两个edge,分别称为: Leading edge=前一个边沿二第一个边沿,对于开始电压是1,那么就是1变成0 的时候,对于开始电压是0,那么就是0变成1的时候; Trailing edge二后一个边沿二第二个边沿,对于开始电压是1,那么就是0变成1 的时候(即在第一次1变成0之后,才可能有后面的0变成1),对于开始电压是0,那么就是1变成0的时候; 本文采用如下用法? 极性二CPOL 相位=CPHA SCLK二时钟 第一个边沿和第二个边沿

IEEE1588精密时钟同步协议测试技术

1引言 以太网技术由于其开放性好、价格低廉和使用方便等特点,已经广泛应用于电信级别的网络中,以太网的数据传输速度也从早期的10M提高到100M,GE,10GE。40GE,100GE正式产品也将于2009年推出。 以太网技术是“即插即用”的,也就是将以太网终端接到IP网络上就可以随时使用其提供的业务。但是,只有“同步的”的IP网络才是一个真正的电信级网络,才能够为IP网络传送各种实时业务与数据业务的多重播放业务提供保障。目前,电信级网络对时间同步要求十分严格,对于一个全国范围的IP网络来说,骨干网络时延一般要求控制在50ms之内,现行的互联网网络时间协议NTP (NetworkTimeProtocol),简单网络时间协议SNTP(SimpleNetwork Time Protocol)等不能达到所要求的同步精度或收敛速度。基于以太网的时分复用通道仿真技术(TDM over Ethernet)作为一种过渡技术,具有一定的以太网时钟同步概念,可以部分解决现有终端设备用于以太网的无缝连接问题。IEEE 1588标准则特别适合于以太网,可以在一个地域分散的IP网络中实现微秒级高精度的时钟同步。本文重点介绍IEEE 1588技术及其测试实现。 2IEEE1588PTP介绍 IEEE1588PTP协议借鉴了NTP技术,具有容易配置、快速收敛以及对网络带宽和资源消耗少等特点。IEEE1588标准的全称是“网络测量和控制系统的精密时钟同步协议标准(IEEE1588Precision Clock Synchronization Protocol)”,简称PTP(Precision Timing Protocol),它的主要原理是通过一个同步信号周期性的对网络中所有节点的时钟进行校正同步,可以使基于以太网的分布式系统达到精确同步,IEEE 1588PTP时钟同步技术也可以应用于任何组播网络中。 IEEE1588将整个网络内的时钟分为两种,即普通时钟(OrdinaryClock,OC)和边界时钟(BoundaryClock,BC),只有一个PTP通信端口的时钟是普通时钟,有一个以上PTP通信端口的时钟是边界时钟,每个PTP端口提供独立的PTP通信。其中,边界时钟通常用在确定性较差的网络设备(如交换机和路由器)上。从通信关系上又可把时钟分为主时钟和从时钟,理论上任何时钟都能实现主时钟和从时钟的功能,但一个PTP通信子网内只能有一个主时钟。整个系统中的最优时钟为最高级时钟GMC(Grandmaster Clock),有着最好的稳定性、精确性、确定性等。根据各节点上时钟的精度和级别以及UTC(通用协调时间)的可追溯性等特性,由最佳主时钟算法(Best Master Clock)来自动选择各子网内的主时钟;在只有一个子网的系统中,主时钟就是最高级时钟GMC。每个系统只有一个GMC,且每个子网内只有一个主时钟,从时钟与主时钟保持同步。图1所示的是一个典型的主时钟、从时钟关系示意。

RCC时钟配置

时钟配置RCC_Configuration() 在比较靠前的版本中,我们需要向下面那样设置时钟: ErrorStatus HSEStartUpStatus; /*********************************************************************** *************** * FunctionName : RCC_Configuration() * Description : 时钟配置 * EntryParameter : None * ReturnValue : None ************************************************************************ **************/ void RCC_Configuration(void) { /* 复位所有的RCC外围设备寄存器,不改变内部高速振荡器调整位(HSITRIM[4..0])以及 备份域控制寄存器(RCC_BDCR),控制状态寄存器RCC_CSR */ RCC_DeInit(); // RCC system reset(for debug purpose) /* 开启HSE振荡器*/ /* 三个参数: RCC_HSE_ON-开启RCC_HSE_OFF-关闭RCC_HSE_BYPASS-使用外部时钟振荡器*/ RCC_HSEConfig(RCC_HSE_ON); // Enable HSE /* HSEStartUpStatus为枚举类型变量,2种取值,0为ERROR,非0为SUCCESS 等待HSE准备好,若超时时间到则退出*/ HSEStartUpStatus = RCC_WaitForHSEStartUp(); // Wait till HSE is ready if (HSEStartUpStatus == SUCCESS) // 当HSE准备完毕切振荡稳定后 { /* 配置AHB时钟,这个时钟从SYSCLK分频而来分频系数有1,2,4,8,16,64,128,256,512 */ RCC_HCLKConfig(RCC_SYSCLK_Div1); // HCLK = SYSCLK /* 设置低速APB2时钟,这个时钟从AHB时钟分频而来分频系数为1,2,4,8,16 */ RCC_PCLK2Config(RCC_HCLK_Div1); // PCLK2 = HCLK /* 设置低速APB1时钟,这个时钟从AHB时钟分频而来分频系数为1,2,4,8,16 */ RCC_PCLK1Config(RCC_HCLK_Div2); // PCLK1 = HCLK/2 FLASH_SetLatency(FLASH_Latency_2); // Flash 2 wait state FLASH_PrefetchBufferCmd(FLASH_PrefetchBuffer_Enable); // Enable Prefetch Buffer /* 设置PLL的时钟源和乘法因子 第一个入口参数为时钟源,共有3个 RCC_PLLSource_HSI_Div2 RCC_PLLSource_HSE_Div1

stm32如何配置时钟

学习STM32笔记2 如何配置时钟 学习STM32笔记2 如何配置时钟 /************************************************************* 该程序目的是用于测试核心板回来后是否能正常工作。包括 两个按键、两个LED现实。按键为PC4、PC5,LED为PA0\PA1。LED为 低电平时点亮。按键为低电平时触发。 ************************************************************/ #i nclude "stm32f10x_lib.h" void RCC_Configuration(void);//设置系统主时钟 void GPIO_Configuration(void);//设置邋邋IO参数 void NVIC_Configuration(void);//设置中断表地址 void delay(void);//延时函数 int main(void) { #ifdef DEBUG debug(); #endifRCC_Configuration(); NVIC_Configuration(); GPIO_Configuration(); while (1) { delay(); //设置指定的数据端口位 GPIO_SetBits(GPIOA,GPIO_Pin_0); //设置指定的数据端口位 delay(); GPIO_ResetBits(GPIOA,GPIO_Pin_0); //清除指定的数据端口位 GPIO_SetBits(GPIOA,GPIO_Pin_1); delay(); GPIO_ResetBits(GPIOA,GPIO_Pin_1); delay(); /********************************************* 使用setbits 与resetbits 是比较简单,其实还是可以使用 其它函数。例如可以使用GPIO_WriteBit GPIO_WriteBit(GPIOA, GPIO_Pin_1, Bit_SET); GPIO_WriteBit(GPIOA, GPIO_Pin_1, Bit_RESET);对于好像流水灯呀这些一个整段IO,可以使用GPIO_Write(GPIOA, 0x1101); *********************************************/

同步时钟技术建议书讲解学习

南水北调东线一期工程山东段调度运行 管理系统 同步时钟子系统 技术建议书 上海泰坦通信工程有限公司 2012 年3月

本次投标我方严格按照技术规范书的要求,提出以下适合技术规范书要求的详细的方案建议书: 本次工程拟定在干线公司和穿黄现地管理处(备调中心)各配置一套同步时 钟设备,作为区域基准钟LPR作为全网主备用基准钟LPR。每套配置为双GPS 接收系统+BITS设备。设备选型为美国Brilliant公司的GPS接收机ST2000、美国Symmetricom公司的TPIU和TimeProvider1100。干线公司和穿黄现地管理处(备调中心)的传输设备从时钟同步设备上引接同步时钟信号。其他节点的传输设备从线路侧提取同步时钟信号。 单个站点设备连接示意图如下: 一、本次投标方案的几大特点 1.为干线公司和穿黄现地管理处配置的GPS具有BesTime专利技术,可以有效地削弱SA的干扰,相比其它GPS产品,这种性能确保了同步网的安全与稳定, 避免在特殊环境下美国对GPS的干扰; 2.为干线公司和穿黄现地管理处配置的GPS具有SSM功能,这对避免全网“定时环”具有非常重要的意义; 3.本次投标的BITS设备特别方便运行维护,设备开通后,无论需要更换卡板, 还是需要插入卡板,都不需要专业工程师到场,新卡板自动从设备获取运行参数;4.本次投标的BITS设备特别方便运行维护,用户可将每一个端口的使用情况储 存在卡板中,不需要固定的维护终端; 二、本次投标售后服务的特别承诺 本次投标采用的主设备全部为进口设备。尽管Symmetricom公司是全球最有实力

的、也是唯一一家专业的同步厂商,但考虑到设备维修需要返回工厂,前后周期 较长,本次投标特别承诺,我公司已有备品备件,在遇到故障报告后,我公司免 费提供备品备件,并确保48小时内恢复设备正常运行。待故障板卡经工厂维修返 回后换回借给的备品备件。 三、设备详细配置 干线公司和穿黄现地管理处各配置如下设备: GPS1---ST2000,内置高性能晶体钟,独立设备,有SSM GPS2---TPIU --- 内置高性能晶体钟,独立设备,有SSM BITS---TimeProvider1100,双加强型铷钟,四路输入,32路冗余输出,有SSM ST2000 TPIU TimeProvider1100外观 TimeProvider1100

学习STM32笔记2如何配置时钟

学习STM32笔记2 如何配置时钟* 学习STM32笔记2 如何配置时钟 原创笔记2009-09-20 19:56 阅读116 评论0 字号:大中小 /************************************************************* 该程序目的是用于测试核心板回来后是否能正常工作。包括 两个按键、两个LED现实。按键为PC4、PC5,LED为PA0\PA1。LED为 低电平时点亮。按键为低电平时触发。 ************************************************************/ #i nclude "stm32f10x_lib.h" void RCC_Configuration(void);//设置系统主时钟 void GPIO_Configuration(void);//设置邋邋IO参数 void NVIC_Configuration(void);//设置中断表地址 void delay(void);//延时函数 int main(void) { #ifdef DEBUG debug(); #endifRCC_Configuration(); NVIC_Configuration(); GPIO_Configuration(); while (1) { delay(); //设置指定的数据端口位 GPIO_SetBits(GPIOA,GPIO_Pin_0); //设置指定的数据端口位 delay(); GPIO_ResetBits(GPIOA,GPIO_Pin_0); //清除指定的数据端口位 GPIO_SetBits(GPIOA,GPIO_Pin_1); delay(); GPIO_ResetBits(GPIOA,GPIO_Pin_1); delay(); /********************************************* 使用setbits 与resetbits 是比较简单,其实还是可以使用 其它函数。例如可以使用GPIO_WriteBit GPIO_WriteBit(GPIOA, GPIO_Pin_1, Bit_SET); GPIO_WriteBit(GPIOA, GPIO_Pin_1, Bit_RESET);对于好像流水灯呀这些一个整段IO,可以使用GPIO_Write(GPIOA, 0x1101); *********************************************/

传输系统中的时钟同步技术

传输系统中的时钟同步技术同步模块是每个系统的心脏,它为系统中的其他每个模块馈送正确的时钟信号。因此需要对同步模块的设计和实现给予特别关注。本文对影响系统设计的时钟特性进行了考察,并对信号恶化的原因进行了评估。本文还分析了同步恶化的影响,并对标准化组织为确保传输质量和各种传输设备的互操作性而制定的标准要求进行了探讨。摘要:网络同步和时钟产生是高速传输系统设计的重要方面。为了通过降低发射和接收错误来提高网络效率,必须使系统的各个阶段都要使用的时钟的质量保持特定的等级。网络标准定义同步网络的体系结构及其在标准接口上的预期性能,以保证传输质量和传输设备的无缝集成。有大量的同步问题,系统设计人员在建立系统体系结构时必须十分清楚。本文论述了时钟恶化的各种来源,如抖动和漂移。本文还讨论了传输系统中时钟恶化的原因和影响,并分析了标准要求,提出了各种实现技巧。基本概念:抖动和漂移抖动的一般定义可以是“一个事件对其理想出现的短暂偏离”。在数字传输系统中,抖动被定义为数字信号的重要时刻在时间上偏离其理想位置的短暂变动。重要时刻可以是一个周期为 T1 的位流的最佳采样时刻。虽然希望各个位在 T 的整数倍位置出现,但实际上会有所不同。这种脉冲位置调制被认为是一种抖动。这也被称为数字信号的相位噪声。在下图中,实际信号边沿在理想信号边沿附近作周期性移动,演示了周期性抖动的概念。图 1.抖动示意抖动,不同于相位噪声,它以单位间隔 (UI) 为单位来表示。一个单位间隔相当于一个信号周期 (T),等于 360 度。假设事件为 E,第 n 次出现表示为 tE[n] 。则瞬时抖动可以表示为:一组包括 N 个抖动测量的峰到峰抖动值使用最小和最大瞬时抖动测量计算如下:漂移是低频抖动。两者之间的典型划分点为 10 Hz。抖动和漂移所导致的影响会显现在传输系统的不同但特定的区域。抖动类型根据产生原因,抖动可分成两种主要类型:随机抖动和确定性抖动。随机抖动,正如其名,是不可预测的,由随机的噪声影响如热噪声等引起。随机抖动通常发生在数字信号的边沿转换期间,造成随机的区间交叉。毫无疑问,随机抖动具有高斯概率密度函数 (PDF),由其均值 (μ) 和均方根值 (rms) (σ) 决定。由于高斯函数的尾在均值的两侧无限延伸,瞬时抖动和峰到峰抖动可以是无限值。因此随机抖动通常采用其均方根值来表示和测量。图 2.以高斯概率密度函数表示的随机抖动对抖动余量来讲,峰到峰抖动比均方根抖动更为有用,因此需要把随机抖动的均方根值转换成峰到峰值。为将均方根抖动转换成峰到峰抖动,定义了随机抖动高斯函数的任意极限 (arbitrary limit)。误码率 (BER) 是这种转换中的一个有用参数,其假设高斯函数中的瞬时抖动一旦落在其强制极限之外即出现误码。通过下面两个公式,就可以得到均方根抖动到峰到峰抖动的换算。 3[!--empirenews.page--] 由公式可得到下表,表中峰到峰抖动对应不同的 BER 值。确定性抖动是有界的,因此可以预测,且具有确定的幅度极限。考虑集成电路 (IC) 系统,有大量的工艺、器件和系统级因素将会影响确定性抖动。占空比失真 (DCD) 和脉冲宽度失真(PWD) 会造成数字信号的失真,使过零区间偏离理想位置,向上或向下移动。这些失真通常是由信号的上升沿和下降沿之间时序不同而造成。如果非平衡系统中存在地电位漂移、差分输入之间存在电压偏移、信号的上升和下降时间出现变化等,也可能造成这种失真。图 3,总抖动的双模表示数据相关抖动 (DDJ) 和符号间干扰 (ISI) 致使信号具有不同的过零区间电平,导致每种唯一的位型出现不同的信号转换。这也称为模式相关抖动 (PDJ)。信号路径的低频截止点和高频带宽将影响 DDJ。当信号路径的带宽可与信号的带宽进行比较时,位就会延伸到相邻位时间内,造成符号间干扰 (ISI)。低频截止点会使低频器件的信号出现失真,而系统的高频带宽限制将使高频器件性能下降。7 正弦抖动以正弦模式调制信号边沿。这可能是由于供给整个系统的电源或者甚至系统中的其他振荡造成。接地反弹和其他电源变动也可能造成正弦抖动。正弦抖动广泛用于抖动环境的测试和仿真。不相关抖动可能由电源噪声或串扰和其他电磁干扰造成。考虑抖动对数字信号的影响时,需要将整个确定性抖动和随机抖动考虑在内。确定性抖动和随机抖动的总计结果将产生另外一种概率分布

SPI时钟模式的配置

S P I时钟模式的配置集团标准化小组:[VVOPPT-JOPP28-JPPTL98-LOPPNN]

【S P I基础知识简介】 设备与设备之间通过某种硬件接口通讯,目前存在很多种接口,SPI接口是其中的一种。 SPI中分Master主设备和Slave从设备,数据发送都是由Master控制。 一个master可以接一个或多个slave。 常见用法是一个Master接一个slave,只需要4根线: SCLK:SerialClock,(串行)时钟 MISO:MasterInSlaveOut,主设备输入,从设备输出 MOSI:MasterOutSlaveIn,主设备输出,从设备输入 SS:SlaveSelect,选中从设备,片选 SPI由于接口相对简单(只需要4根线),用途算是比较广泛,主要应用在EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间。 即一个SPI的Master通过SPI与一个从设备,即上述的那些Flash,ADC等,进行通讯。 而主从设备之间通过SPI进行通讯,首先要保证两者之间时钟SCLK要一致,互相要商量好了,要匹配,否则,就没法正常通讯了,即保证时序上的一致才可正常讯。 而这里的SPI中的时钟和相位,指的就是SCLk时钟的特性,即保证主从设备两者的时钟的特性一致了,以保证两者可以正常实现SPI通讯。 【SPI相关的缩写或说法】 先简单说一下,关于SPI中一些常见的说法: SPI的极性Polarity和相位Phase,最常见的写法是CPOL和CPHA,不过也有一些其他写法,简单总结如下: (1)CKPOL(ClockPolarity)=CPOL=POL=Polarity=(时钟)极性 (2)CKPHA(ClockPhase)=CPHA=PHA=Phase=(时钟)相位 (3)SCK=SCLK=SPI的时钟 (4)Edge=边沿,即时钟电平变化的时刻,即上升沿(risingedge)或者下降沿(fallingedge) 对于一个时钟周期内,有两个edge,分别称为: Leadingedge=前一个边沿=第一个边沿,对于开始电压是1,那么就是1变成0的时候,对于开始电压是0,那么就是0变成1的时候; Trailingedge=后一个边沿=第二个边沿,对于开始电压是1,那么就是0变成1的时候(即在第一次1变成0之后,才可能有后面的0变成1),对于开始电压是0,那么就是1变成0的时候;

时钟同步技术概述

作为数字通信网的基础支撑技术,时钟同步技术的发展演进始终受到通信网技术发展的驱动。在网络方面,通信网从模拟发展到数字,从TDM网络为主发展到以分组网络为主;在业务方面,从以TDM话音业务为主发展到以分组业务为主的多业务模式,从固定话音业务为主发展到以固定和移动话音业务并重,从窄带业务发展到宽带业务等等。在与同步网相关性非常紧密的传输技术方面,从同轴传输发展到PDH,SDH,WDM和DWDM,以及最新的OTN和PTN技术。随着通信新业务和新技术的不断发展,其同步要求越来越高,包括钟源、锁相环等基本时钟技术经历了多次更新换代,同步技术也在不断地推陈出新,时间同步技术更是当前业界关注的焦点。 2、时钟技术发展历程 时钟同步涉及的最基本技术包括钟源技术和锁相环技术,随着应 用需求的不断提高,技术、工艺的不断改进,钟源技术和锁相环 技术也得到了快速的演进和发展。 (1) 钟源技术

时钟振荡器是所有数字通信设备的基本部件,按照应用时间的先后,钟源技术可分为普通晶体钟、具有恒温槽的高稳晶振、原子钟、芯片级原子钟。 一般晶体振荡器精度在nE-5~nE-7之间,由于具有价格便宜、尺寸小、功耗低等诸多优点,晶体振荡器在各个行业和领域中得到广泛应用。然而,普通晶体钟一般受环境温度影响非常大,因此,后来出现了具有恒温槽的晶体钟,甚至具有双恒温槽的高稳晶体钟,其性能得到很大改善。随着通信技术的不断发展,对时钟精度和稳定性提出了更高的要求,晶体钟源已经难以满足要求,原子钟技术开始得到应用,铷钟和铯钟是其中最有代表性的原子钟。一般来说,铷钟的精度能达到或优于nE-10的量级,而铯钟则能达到或优于1E-12的量级。 然而,由于尺寸大、功耗高、寿命短,限制了原子钟在一些领域的应用,芯片级原子钟有望解决这个难题。目前民用的芯片级原子钟基本上处于试验阶段,其尺寸只有立方厘米量级,耗电只有百毫瓦量级,不消耗原子,延长了使用寿命,时钟精度在nE-10量级以上,具有很好的稳定性。芯片级原子钟将在通信、交通、电力、金融、国防、航空航天以及精密测量等领域有着广泛的应用前景。 (2) 锁相环技术 锁相环技术是一种使输出信号在频率和相位上与输入信号同步的电路技术,即当系统利用锁相环技术进入锁定状态或同步状态后,系统的震荡器输出信号与输入信号之间相差为零,或者保持为常数。锁相环路技术是时钟同步的核心技术,它经历了模拟锁相环

STM32时钟系统与软件配置

STM32时钟系统与软件配置 在STM32中,有五个时钟源,为HSI、HSE、LSI、LSE、PLL。 ①HSI是高速内部时钟,RC振荡器,频率为8MHz。 ②HSE是高速外部时钟,可接石英/陶瓷谐振器,或者接外部时钟源,频率范围为4MHz~16MHz。 ③LSI是低速内部时钟,RC振荡器,频率为40kHz。 ④LSE是低速外部时钟,接频率为32.768kHz的石英晶体。 ⑤PLL为锁相环倍频输出,其时钟输入源可选择为HSI/2、HSE或者HSE/2。倍频可选择为2~16倍,但是其输出频率最大不得超过72MHz。

在STM32上如果不使用外部晶振,OSC_IN和OSC_OUT的接法 如果使用内部RC振荡器而不使用外部晶振,请按照下面方法处理: 1)对于100脚或144脚的产品,OSC_IN应接地,OSC_OUT应悬空。 2)对于少于100脚的产品,有2种接法: 2.1)OSC_IN和OSC_OUT分别通过10K电阻接地。此方法可提高EMC性能。 2.2)分别重映射OSC_IN和OSC_OUT至PD0和PD1,再配置PD0和PD1为推挽输出并输出'0'。此方法可以减小功耗并(相对上面2.1)节省2个外部电阻。 使用HSE时钟,程序设置时钟参数流程: 1、将RCC寄存器重新设置为默认值RCC_DeInit; 2、打开外部高速时钟晶振HSE RCC_HSEConfig(RCC_HSE_ON); 3、等待外部高速时钟晶振工作 HSEStartUpStatus = RCC_WaitForHSEStartUp(); 4、设置AHB时钟RCC_HCLKConfig; 5、设置高速AHB时钟RCC_PCLK2Config; 6、设置低速速AHB时钟RCC_PCLK1Config;

锁相环配置时钟

锁相环配置时钟 锁相环作为一个提供系统时钟的模块,是一个基本的模块,几乎每次编程序都得用到。下面记一下怎样配置锁相环来设定想要的系统时钟。 锁相环PLL、自时钟模式和前面说的实时中断RTI、看门狗COP都属于系统时钟与复位CRG中的模块,固前面用到的寄存器,这里有些会再用到。 在程序中配置锁相环的步骤如下: 第一、禁止总中断; 第二、寄存器CLKSEL的第七位置0,即CLKSEL_PLLSEL=0。选择时钟源为外部晶振OSCCLK,在PLL程序执行前,内部总线频率为OSCCLK/2。 CLKSEL_PLLSEL=0时,系统时钟由外部晶振直接提供,系统内部总线频率=OSCCLK/2(OSCCLK为外部晶振频率)。CLKSEL_PLLSEL=1时,系统时钟由锁相环提供,此时系统内部总线频率=PLLCLK/2 (PLLCLK为锁相环倍频后的频率)。 第三、禁止锁相环PLL,即PLLCTL_PLLON=0。 当PLLCTL_PLLON=0时,关闭PLL电路。当PLLCTL_PLLON=1时,打开PLL电路。 第四、根据想要的时钟频率设置SYNR和REFDV两个寄存器。 SYNR和REFDV两个寄存器专用于锁相环时钟PLLCLK的频率计算,计算公式是: PLLCLK=2*OSCCLK*(SYNR+1)/(REFDV+1) 其中,PLLCLK为PLL模块输出的时钟频率;OSCCLK为晶振频率;SYNR、REFDV 分别为寄存器SYNR、REFDV中的值。这两个寄存器只有在PLLSEL=0时才能

够写入(这里就是第二步的设置原因所在了)。 第五、打开PLL,即PLLCTL_PLLON=1。 第六、CRGFLG_LOCK位,确定PLL是否稳定。 当锁相环PLL电路输出的频率达到目标频率的足够小的误差范围内时,LOCK位置1,此时说明PLLCLK已经稳定,可以作为系统的时钟了。该位在正常情况下为只读位。 第七、PLLCLK稳定后,允许锁相环时钟源PLLCLK为系统提供时钟,即CLKSEL_PLLSEL=1。 到这里,锁相环的设置就完毕了。

stm32f030时钟配置工具AN4055

May 2012Doc ID 022837 Rev 11/17 AN4055 Application note Clock configuration tool for STM32F0xx microcontrollers Introduction This application note presents the clock system configuration tool for the STM32F0xx microcontroller family. The purpose of this tool is to help the user configure the microcontroller clocks, taking into consideration product parameters such as power supply and Flash access mode. The configuration tool is implemented in the “STM32F0xx_Clock_Configuration_VX.Y .Z.xls” file which is supplied with the STM32F0xx Standard Peripherals Library and can be downloaded from https://www.wendangku.net/doc/4910893108.html, . This tool supports the following functionalities for the STM32F0xx: ● Configuration of the system clock, HCLK source and output frequency ● Configuration of the Flash latency (number of wait states depending on the HCLK frequency)● Setting of the PCLK1, PCLK2, TIMCLK (timer clocks) and I2SCLK frequencies ●Generation of a ready-to-use system_stm32f0xx.c file with all the above settings (STM32F0xx CMSIS Cortex-M0 Device Peripheral Access Layer System Source File)The STM32F0xx_Clock_Configuration_VX.Y .Z.xls is referred to as “clock tool” throughout this document. Before using the clock tool, it is essential to read the STM32F0xx microcontroller reference manual (RM0091). This application note is not a substitute for the reference manual.This tool supports only the STM32F0xx devices. For VX.Y .Z, please refer to the tool version, example V1.0.0 https://www.wendangku.net/doc/4910893108.html,

推陈出新 时钟同步技术的现状及发展

推陈出新时钟同步技术的现状及发展 1 引言作为数字通信网的基础支撑技术,时钟同步技术的发展演进始终受到通信网技术发展的驱动。在网络方面,通信网从模拟发展到数字,从TDM网络为主发展到以分组网络为主;在业务方面,从以TDM话音业务为主发展到以分组业务为主的多业务模式,从固定话音业务为主发展到以固定和移动话音业务并重,从窄带业务发展到宽带业务等等。在与同步网相关性非常紧密的传输技术方面,从同轴传输发展到PDH,SDH,WDM和DWDM,以及最新的OTN和PTN技术。随着通信新业务和新技术的不断发展,其同步要求越来越高,包括钟源、锁相环等基本时钟技术经历了多次更新换代,同步技术也在不断地推陈出新,时间同步技术更是当前业界关注的焦点。 2 时钟技术发展历程时钟同步涉及的最基本技术包括钟源技术和锁相环技术,随着应用需求的不断提高,技术、工艺的不断改进,钟源技术和锁相环技术也得到了快速的演进和发展。 (1)钟源技术 时钟振荡器是所有数字通信设备的基本部件,按照应用时间的先后,钟源技术可分为普通晶体钟、具有恒温槽的高稳晶振、原子钟、芯片级原子钟。一般晶体振荡器精度在nE-5~nE-7之间,由于具有价格便宜、尺寸小、功耗低等诸多优点,晶体振荡器在各个行业和领域中得到广泛应用。然而,普通晶体钟一般受环境温度影响非常大,因此,后来出现了具有恒温槽的晶体钟,甚至具有双恒温槽的高稳晶体钟,其性能得到很大改善。随着通信技术的不断发展,对时钟精度和稳定性提出了更高的要求,晶体钟源已经难以满足要求,原子钟技术开始得到应用,铷钟和铯钟是其中最有代表性的原子钟。一般来说,铷钟的精度能达到或优于nE-10的量级,而铯钟则能达到或优于1E-12的量级。然而,由于尺寸大、功耗高、寿命短,限制了原子钟在一些领域的应用,芯片级原子钟有望解决这个难题。目前民用的芯片级原子钟基本上处于试验阶段,其尺寸只有立方厘米量级,耗电只有百毫瓦量级,不消耗原子,延长了使用寿命,时钟精度在nE-10量级以上,具有很好的稳定性。芯片级原子钟将在通信、交通、电力、金融、国防、航空航天以及精密测量等领域有着广泛的应

配置时钟

配置时钟 介绍如何配置时钟数据,包括配置参考源、时钟板和线路时钟源。 前提条件 设备已正确配置机框和单板。 时钟系统 时钟系统为UMG8900设备提供内部系统所必要的时钟信号,通过接入外部各种标准的参考时钟信号,提供电信网络设备所需要的2/3级精度时钟。 时钟系统的核心处理单元为独立的时钟单板CLK或者位于TNC单板上的时钟扣板。采用独立CLK 时钟单板时,系统可以提供2/3级精度时钟;采用TNC时钟扣板时,只能提供3级精度时钟。CLK 板或者TNC板上的时钟扣板通过跟踪外部基准信号、过滤外基准的抖动、漂移等,使其本身输出的时钟信号具有高频率准确度和稳定度,为系统提供一个优良的时钟源。 采用独立CLK时钟单板时,时钟系统支持2Mbit/s、1.5Mbit/s、2MHz、64kHz、8kHz线路时钟、GPS(Global Positioning System)/GLONASS(Global Navigation Satellite System)时钟参考源;采用时钟扣板方式时,时钟系统支持2Mbit/s、2MHz、8kHz线路时钟参考源。 时钟系统同时提供BITS时钟输出接口,可以与下级设备连接,为下级设备提供BITS时钟信号参考源。 系统支持通过软件灵活选择外部时钟参考源和输出时钟等级。 SSM简介 SSM (Synchronization Status Message) 同步状态信息,是指示时钟等级的一个信息,对于E1信号,G.704中定义用奇数帧0时隙(TS0)的Sa4~Sa8来传递SSM,对于T1信号,G.704中定义用复帧中奇数帧第一个bit组成的4kbit/s数据链路来传递SSM。 对于E1信号传递SSM信息的位置如图1所示。

展望时钟同步技术的发展前景

1 引言 作为数字通信网的基础支撑技术,时钟同步技术的发展演进始终受到通信网技术发展的驱动。在网络方面,通信网从模拟发展到数字,从TDM网络为主发展到以分组网络为主;在业务方面,从以TDM话音业务为主发展到以分组业务为主的多业务模式,从固定话音业务为主发展到以固定和移动话音业务并重,从窄带业务发展到宽带业务等等。在与同步网相关性非常紧密的传输技术方面,从同轴传输发展到PDH,SDH,WDM和DWDM,以及最新的OTN和PTN技术。随着通信新业务和新技术的不断发展,其同步要求越来越高,包括钟源锁相环等基本时钟技术经历了多次更新换代,同步技术也在不断地推陈出新,时间同步技术更是当前业界关注的焦点。 2 时钟技术发展历程 时钟同步涉及的最基本技术包括钟源技术和锁相环技术,随着应用需求的不断提高,技术、工艺的不断改进,钟源技术和锁相环技术也得到了快速的演进和发展。 (1) 钟源技术 时钟振荡器是所有数字通信设备的基本部件,按照应用时间的先后,钟源技术可分为普通晶体钟、具有恒温槽的高稳晶振、原子钟、芯片级原子钟。 一般晶体振荡器精度在nE-5~nE-7之间,由于具有价格便宜、尺寸小、功耗低等诸多优点,晶体振荡器在各个行业和领域中得到广泛应用。然而,普通晶体钟一般受环境温度影响非常大,因此,后来出现了具有恒温槽的晶体钟,甚至具有双恒温槽的高稳晶体钟,其性能得到很大改善。随着通信技术的不断发展,对时钟精度和稳定性提出了更高的要求,晶体钟源已经难以满足要求,原子钟技术开始得到应用,铷钟和铯钟是其中最有代表性的原子钟。一般来说,铷钟的精度能达到或优于nE-10的量级,而铯钟则能达到或优于1E-12的量级。 然而,由于尺寸大、功耗高、寿命短,限制了原子钟在一些领域的应用,芯片级原子钟有望解决这个难题。目前民用的芯片级原子钟基本上处于试验阶段,其尺寸只有立方厘米量级,耗电只有百毫瓦量级,不消耗原子,延长了使用寿命,时钟精度在nE-10量级以上,具有很好的稳定性。芯片级原子钟将在通信、交通、电力、金融、国防、航空航天以及精密测量等领域有着广泛的应用前景。 (2) 锁相环技术 锁相环技术是一种使输出信号在频率和相位上与输入信号同步的电路技术,即当系统利用锁相环技术进入锁定状态或同步状态后,系统的震荡器输出信号与输入信号之间相差为零,或者保持为常数。锁相环路技术是时钟同步的核心技术,它经历了模拟锁相环路技术和数字锁相环路技术的时代,直至发展到今天的智能锁相环路技术。 模拟锁相环的各个部件都是由模拟电路实现,一般由鉴相器、环路滤波器、压控振荡器等3部分组成,其中鉴相器用来鉴别输入信号与输出信号之间的相位差,并输出电压误差,其噪声和干扰成分被低通性质的环路滤波器滤除,形成压控振荡器的控制电压,其作用于压控振荡器的结果是把它的输出振荡频率拉向环路输入信号频率,当二者相等时,即完成锁定。 与模拟锁相环相比,数字锁相环中的误差控制信号是离散的数字信号,而不是模拟电压,因此受控的输出电压的改变是离散的而不是连续的。另外,环路组成部件也全用数字电路实现,改善了模拟锁相环稳定性差的问题。随着数字技术的发展,出现了智能锁相环路技术,即直接数字频率合成(DDS-Digital Direct Frequency Synthesis)技术。智能全数字锁相环在单片FPGA中就可以实现。借助锁相环状态监测电路,通过CPU可以缩短锁相环锁定时间,并逐渐改进其输出频率的抖动特性,达到最佳的锁相和频率输出效果。 3 同步技术现状分析

STM32系统时钟配置

STM32系统时钟配置 STM32 在使用不同时钟晶振时,需要对系统时钟进行配置。下面以16MHz晶振产生72MHz时钟为例进行说明。 由于STM32可进行整数倍倍频,及可选是否2分频。因而选用16MHz 晶振时,先2分频,再倍频9倍,即可倍频为72MHz。 ①查找SystemInit() 函数,即系统时钟设置: 图1

②进入 SetSysClockTo72() 函数,如果要设置其他频率,进入对应的频率即可,如SetSysClockTo48()。 ③参考 STM32中文参考手册的6.3.2时钟配置寄存器(RCC_CFGR)。如“位17PLLXTPRE ” 所述: HSE分频器作为PLL输入(HSE divider for PLL entry) 由软件置’1’或清’0’来分频HSE后作为PLL输入时钟。只能在关闭PLL时 才能写入此位。 0:HSE不分频 1:HSE 2分频 因而,RCC_CFGR 寄存器的位17 应置“1”。 ④如图2红色框中所示, RCC->CFGR &= (uint32_t)((uint32_t)~(RCC_CFGR_PLLSRC | RCC_CFGR_PLLXTPRE | RCC_CFGR_PLLMULL)); RCC->CFGR |= (uint32_t)(RCC_CFGR_PLLSRC | RCC_CFGR_PLLMULL9|RCC_CFGR_PLLXTPRE); 添加红色字部分即可完成2分频,则可将16MHz的时钟分频为 8MHz。其实,查找RCC_CFGR_PLLXTPRE宏定义可知: #define RCC_CFGR_PLLXTPRE ((uint32_t)0x00020000) 实际上就是将位 17置1。 而RCC_CFGR_PLLMULL9中的9即是倍频倍数。(8*9=72 MHz)

时钟配置概述

时钟配置概述 时钟同步是一个容易忽视的问题,由于某方面的原因,由于时钟不配置也不会影响业务的正常开通,有时在新开站点的时候很容易就忽略对时钟的配置。造成SDH网络长期处于时钟不健康运行的状态。很容易造成SDH网络性能的下降,误码增加,基站通话质量下降,甚至引起基站掉话,严重会引起基站中断。对整个SDH网络性能将会造成极大的影响。 今天我们就简单的讨论一下时钟的跟踪原理以及我们目前所使用的成熟的时钟跟踪技术。 SDH网络,众所周知,是一个全网同步的网络。要求SDH 整个大网必须跟踪同一个时钟源。那么,如果全网不跟踪同一个BITS时钟会有什么结果呢。 结果是,刚开始网络性能各方面能够正常运行,但经过一段时间后。如48小时后,网络会逐渐出现性能劣化,通过网管可以发现大量的指针调整。 那么,既然全网跟踪同一个时钟那么重要,那么我们就全网都跟踪同一个时钟嘛,但是不是跟踪了同一个时钟,实现了全网的同步,时钟这块就算配置完了,网络性能就能达到要求了? 答案是肯定的。SDH网络时钟很简单,只要保障的全网跟踪同一个时钟,即全网同步(我们常说的主从同步)就完全没有问题。 下面以图为例说明时钟跟踪全网同步的配置模型及配置步

骤。 这里以一个相交环下挂一个链的组网方式来介绍时钟的配置步骤。组网拓扑图如下所示: 其中5-1指的是5槽位1光口,其它以些类推。A点跟踪BITS时钟。D点跟踪11光口和8光口来的时钟,为了防止时钟成环,需启用扩展SSM协议。B、C、E、F、G、均跟踪环路的两个方向,并启用扩展SSM协议。这里需要指出,B、C两个点由于在两个环上。这里就只跟踪离BITS时钟最近的两个方向,实际上就是跟踪两个2.5G光口的时钟信号。 配置完成后,时钟跟踪的方向如图所示:

相关文档