文档库 最新最全的文档下载
当前位置:文档库 › 电子系统设计与实践

电子系统设计与实践

电子系统设计与实践
电子系统设计与实践

电子系统设计与实践王建校张虹金印彬编写

西安交通大学电气工程学院

2004-8-27

目录

1电子系统设计基础 (1)

1.1概论 (1)

1.1.1 电子系统 (1)

1.1.1.1模拟电子系统 (1)

1.1.1.2 数字电子系统 (2)

1.1.1.3 模拟—数字混合型电子系统 (2)

1.1.2 现代电子系统设计的新特点 (3)

1.1.2.1 中、大规模集成电路和专用芯片设计电路 (3)

1.1.2.2 现代电子系统设计方法 (3)

1.1.2.3 系统设计模式的开放化和对象化 (4)

1.2 电子系统设计教学环节 (4)

1.2.1 目的与要求 (4)

1.2.2系统设计的教学过程 (5)

1.2.2.1 方案设计与参数计算阶段 (5)

1.2.2.2 系统安装与调试阶段 (5)

1.2.2.3 撰写总结报告阶段 (5)

1.3 电子系统设计的一般方法与步骤 (6)

1.3.1 电子系统设计基本方法 (6)

1.3.2 电子系统设计一般步骤 (7)

1.3.2.1 总体方案设计与论证 (8)

1.3.2.2 单元电路设计与参数计算 (10)

1.3.2.3 绘制电路图和相关设计文件 (14)

1.4 电子系统的安装与调试 (15)

1.4.1 电子系统的安装 (15)

1.4.1.1 插接方式 (16)

1.4.1.2 焊接方式 (16)

1.4.2 电子系统的调试 (17)

1.4.2.1 调试方法 (17)

1.4.2.2 常用调试仪器 (18)

1.4.2.3 调试步骤 (18)

1.4.2.4 注意事项 (19)

1.5 电子系统的故障分析与排除 (20)

1.5.1 常见故障原因 (20)

1.5.2 常用故障诊断方法 (21)

1.5.3 常见故障及其排除 (23)

1.6 电子系统的抗干扰技术 (28)

1.6.1 常见干扰源 (28)

1.6.2 常见的抗干扰措施 (29)

1.6.2.1 正确选择元器件 (29)

1.6.2.2 合理分布元器件 (29)

1.6.2.3 施加屏蔽措施 (29)

1.6.2.4 抑制电网高频噪声 (30)

1.6.2.5 采用合理的接地方式 (30)

2传感器及其应用 (33)

2.1概论 (33)

2.1.1 传感器的分类 (33)

2.1.2 传感器的基本性能参数 (34)

2.1.3 传感器输出信号的特点 (35)

2.2 传感器电子电路 (36)

2.2.1 传感器电子电路的设计要求 (36)

2.2.2典型传感器电子电路的组成 (36)

2.2.2.1 传感器输出电压信号或电荷量 (37)

2.2.2.2 传感器输出电阻、电容、电感变化量 (37)

2.2.2.3 带有温度补偿的电子电路 (38)

2.3 常用传感器及其应用实例 (39)

2.3.1 温度传感器 (39)

2.3.1.1 热敏电阻温度传感器 (39)

2.3.1.2 热电阻温度传感器 (42)

2.3.1.3 集成温度传感器AD590 (44)

2.3.1.4 数字式温度传感器DS18B20 (46)

2.3.2 霍尔元件及霍尔传感器 (49)

2.3.2.1 霍尔元件 (49)

2.3.2.2 集成霍尔传感器 (52)

2.3.2.3 霍尔元件和霍尔传感器的应用 (54)

2.3.3 光电传感器 (55)

2.3.3.1 光敏电阻 (55)

2.3.3.2 光电池 (57)

2.3.3.3 光电断路器 (59)

2.3.4 压阻式压力传感器 (61)

2.3.4.1 压阻式压力传感器原理 (61)

2.3.4.2 压阻式压力传感器供电方式 (62)

2.3.4.3 压阻式压力传感器的应用 (63)

3电子系统设计中常用的数值处理方法 (65)

3.1 非线性补偿技术 (65)

3.1.1 非线性函数补偿法 (65)

3.1.2 线性差值法(多段折线逼近法) (66)

3.1.3 曲线拟合的最小二乘法(二次抛物线差值法) (67)

3.1.4 三次样条函数差值法 (69)

3.1.5 查表法 (71)

3.1.5.1 顺序查表法 (72)

3.1.5.2 对分查表法 (72)

3.2 数值积分与数值微分 (73)

3.2.1 数值微分 (73)

3.2.2 数值积分 (75)

3.3 标度变换 (76)

3.3.1 标度变换原理 (76)

3.3.2 线性信号的标度变换 (77)

3.3.3 非线性信号的标度变换 (77)

3.4 数字滤波技术 (79)

3.4.1虑波器的原理与分类 (79)

3.4.1.1 数字滤波器的基本原理 (79)

3.4.1.2 数字滤波器的分类 (81)

3.4.1.3 数字滤波器的技术要求 (83)

3.4.2 数字滤波器的设计方法 (84)

3.4.3 IIR与FIR滤波器的比较 (88)

3.4.4 整系数数字虑器 (89)

3.4.5 常用简单数字虑波方法 (98)

3.5 数据压缩技术简介 (104)

4PID控制技术 (106)

4.1 引言 (106)

4.1.1 位(或开关)控制 (106)

4.1.2 比例控制 (106)

4.1.3 积分控制 (106)

4.1.4比例—积分控制 (106)

4.1.5微分控制 (107)

4.1.6比例—微分控制 (107)

4.1.7比例—积分—微分控制 (107)

4.1.8比例—微分—反馈—前馈控制 (107)

4.2 数字PID算法 (108)

4.2.1 数字PID控制方法的基本原理 (108)

4.2.2 数字PID控制算法 (109)

4.3 数字PID控制算法的改进 (110)

4.3.1 积分分离PID控制算法 (110)

4.3.2 遇限削弱积分PID控制算法 (112)

4.3.3 不完全微分PID控制算法 (113)

4.3.4 微分先行PID算法 (114)

4.3.5 带死区的PID控制 (115)

4.4 PID参数整定 (116)

4.4.1 模拟PID参数整定 (116)

4.4.1.1 齐格勒-尼柯尔斯规则第一种方法 (116)

4.4.1.2齐格勒-尼柯尔斯夫则第二种方法 (117)

4.4.2 数字PID控制的参数选择和采样周期选择 (118)

4.4.2.1 凑试法 (118)

4.4.2.2 经验法 (119)

4.4.2.3 PID控制的自整定方法 (121)

4.4.2.4 采样周期的选择 (121)

4.5 单片机PID程序设计 (122)

4.5.1 PID算法程序的设计 (122)

4.5.2 增量型PID算法的程序设计 (124)

5 单片机与PC机构成的电子系统 (127)

5.1 RS-232C串行接口及应用 (127)

5.1.1 RS-232C总线标准接口及电器特性 (127)

5.1.1.1 RS-232C接口标准及电器特性 (127)

5.1.1.2 RS-232C电平转换芯片介绍 (129)

5.1.2 RS-449/423/422/485标准总线接口及其应用 (129)

5.1.2.1 RS-232C接口的主要缺点 (129)

5.1.2.2 RS-422串行总线标准及应用 (129)

5.1.2.3 RS-485标准 (130)

5.1.2.4 RS-232C、RS-422A、RS-485性能比较 (130)

5.1.2.5 RS-485驱动芯片介绍 (130)

5.1.3 PC机与单片机串行通信的实现 (131)

5.1.3.1 PC机与单片机通信的硬件设计 (131)

5.1.3.2 通信软件设计 (131)

5.1.3.3 基于VB6.0的程序实现方案 (132)

5.1.3.4 基于VC6.0的程序实现方案 (134)

5.2 USB接口及应用 (135)

5.2.1 USB出现的动因 (135)

5.2.2 适用的对象和目标 (136)

5.2.3 设计原则和特性 (137)

5.2.4 USB性能 (138)

5.2.4.1不同接口传输速率对比 (138)

5.2.4.2 USB的传输方式 (139)

5.2.4.3 USB接口设备供电 (139)

5.2.4.4 USB接口连接距离 (139)

5.2.5 USB2.0 (139)

5.2.6 全速USB总线接口控制器芯片简介 (140)

5.3 IEEE 1394接口 (141)

5.3.1 IEEE1394的特点与结构 (142)

5.3.2 IEEE1394的连接方式 (143)

5.3.3 IEEE1394与USB发展前景比较 (144)

5.4 并行接口及应用 (144)

5.4.1 PC机并行打印机接口各信号作用 (144)

5.4.2 基于PC机并行接口的数据采集系统 (146)

5.4.3 使用转换器 (149)

6现场可编程应用技术 (153)

6.1 现场可编程逻辑器件 (153)

6.1.1 概述 (153)

6.1.2 FPGA器件的基本结构 (154)

6.1.2.1 逻辑元素(LE) (156)

6.1.2.2 嵌入式阵列模块(EAB) (157)

6.1.2.3 逻辑阵列块(LAB) (158)

6.1.3 FPGA器件的配置 (159)

6.1.3.1 FPGA器件的配置原理 (159)

6.1.3.2 FPGA配置模式 (160)

6.1.3.2.1 被动串行(PS)配置方式 (161)

6.1.3.2.2 使用配置器件的配置方式 (163)

6.1.3.2.3 使用JTAG的配置方式 (164)

6.1.4 FPGA器件设计流程 (165)

6.1.4.1 创建一个新项目 (166)

6.1.4.2 新建一个VHDL文件 (168)

6.1.4.3 编译 (170)

6.1.4.4 项目仿真 (174)

6.1.4.5 器件配置 (179)

6.1.5 图形输入文件 (181)

6.2 现场可编程模拟器件 (184)

6.2.1 AN10E40芯片介绍 (185)

6.2.1.1 AN10E40特性 (185)

6.2.1.2 可用的IP模块功能 (186)

6.2.1.3 AN10E40的工作原理 (186)

6.2.1.4 AN10E40结构 (187)

6.2.1.5 可配置模拟模块(CAB) (187)

6.2.1.6 开关电容电路简介 (188)

6.2.1.7 CAB详述 (188)

6.2.1.8 布线资源 (189)

6.2.1.9 时钟发生器 (189)

6.2.1.10参考电压 (190)

6.2.1.11参考电压发生器 (190)

6.2.1.12模拟输入输出单元 (190)

6.2.1.13配置引擎 (191)

6.2.1.14 Mode 0-微机模式(并行装载) (192)

6.2.1.14.1微机模式的最大数据传输率 (194)

6.2.1.14.2发送器件复位命令 (196)

6.2.1.14.3微机模式——配置过程 (196)

6.2.1.14.4配置存贮器的组织形式—ASCⅡHex配置文件格式 (196)

6.2.1.15 Mode 1-从ROM引导 (198)

6.2.1.16 复位过程 (200)

6.2.1.17 引脚说明 (201)

6.2.2 AN10E40工作模式0使用方法举例 (207)

6.2.2.1 AN10E40与51单片机接口方式 (207)

6.2.2.2 用AnadigmDesigner设计所需的模拟电路 (208)

6.2.2.3 用51单片机配置AN10E40的程序设计 (209)

6.2.3借助I2C EEPROM的工作模式0使用方法举例 (212)

6.2.3.1 用AnadigmDesigner设计频率合成器 (212)

6.2.3.2 用学习机将配置数据文件写入24C64 (213)

6.2.3.3 89C52将24C64中的配置数据传入AN10E40 (219)

6.2.4 AN10E40工作模式1使用方法举例 (222)

6.2.4.1 51单片机与A T17C65的接口方式 (223)

6.2.4.2 AN10E40与A T17C65的接口方式 (223)

7电子系统设计设计实例 (226)

7.1 简易数字频率计 (226)

7.1.1 总体方案比较与论证 (227)

7.1.2 模块电路设计与参数计算 (228)

7.1.3 系统电路的实现 (231)

7.1.4 误差分析 (233)

7.1.5 软件设计 (234)

7.1.6系统调试与指标测试 (235)

7.2 数字式工频有效值多用表 (237)

7.2.1 总体方案设计与论证 (238)

7.2.2 模块电路设计与参数计算 (239)

7.2.3 软件设计及流程 (243)

7.2.4 系统调试与指标测试 (244)

7.3 存贮式数字式示波器 (244)

7.3.1 总体方案设计与论证 (245)

7.3.2模块电路设计与实现 (246)

7.3.3 软件设计 (254)

7.3.4 系统调试及指标测试 (256)

7.3.5 系统性能分析 (257)

7.4 简易逻辑分析仪 (258)

7.4.1 方案论证和比较 (260)

7.4.2 系统设计 (263)

7.4.3 软件设计 (267)

7.4.4 系统调试与指标测试 (270)

7.5 低频数字式相位测试仪 (271)

7.5.1 方案设计与论证 (272)

7.5.2 理论分析与具体电路实现 (276)

7.5.3 软件设计与流程图 (285)

7.5.4 系统调试与指标测试 (286)

7.5.5 结果与误差分析 (289)

7.6 液体点滴速度监控装置 (290)

7.6.1 总体方案设计与论证 (292)

7.6.2 理论分析与计算 (298)

7.6.3 系统测试及数据 (303)

7.6.4 数据分析和处理 (305)

7.6.5 设计完成情况 (305)

8电子系统调试技术 (308)

8.1开环系统调试方法 (308)

8.1.1 电子秒表的调试 (308)

8.1.2 单级放大器模块电路的调试 (310)

8.1.3反相比例放大器 (311)

8.2 闭环系统调试方法 (312)

8.2.1三个反相器构成的多谐振荡器的调试 (312)

8.2.2集成运放构成的三角波发生器的调试 (314)

8.3 单片机系统调试方法 (315)

8.3.1简单单片机程序调试 (315)

8.3.2单片机最小系统验证 (317)

8.3.3单片机P1口的测试 (318)

8.3.4调试软件延时程序 (319)

8.3.5单片机串行口的测试 (320)

8.3.6调试A/D转换模块电路 (321)

8.3.7调试D/A转换模块电路 (324)

8.3.8单片机扩展外部数据存贮器的测试 (325)

8.3.9调试中断服务程序 (327)

附录电子系统设计思考题及答案 (329)

7 电子系统设计实例

前面各章主要就电子系统设计的一般方法、步骤以及一些常用技术进行了介绍,本章将精选一些历届电子竞赛的赛题,通过对它们的具体分析和设计、实施,将理论与实践紧密的结合起来,通过具体的设计训练提高实际能力。

7.1 简易数字频率计

简易数字频率计的设计我们已在第一章中作为设计范例给出了具体的

设计方案和系统结构。该设计方案主要采用传统的高频测频、低频测周的电子计数器法,以中介频率作为其分界线,从而可保证足够高的测量精度。此处我们仍以该题为例,介绍另外一种设计方法—高精度恒误差测量法。为此,我们不妨再将设计任务的要求列出,以便阅读。

该题目要求设计一台简易数字频率计。具体性能、指标要求如下:

1.基本要求

(1)频率测量:

a. 测量范围信号:方波、正弦波信号

幅度:0.5V~5V

频率:1Hz~1MHz

b. 测量误差≤0.1%。

(2)周期测量:

a. 测量范围信号:方波、正弦波信号

幅度:0.5V~5V

频率:1Hz~1MHz

b. 测量误差≤0.1%。

(3) 脉冲宽度测量:

a. 测量范围信号:脉冲波

幅度:0.5V~5V

脉冲宽度≥100μs

b. 测量误差≤1%。

(4)显示器:十进制数字显示,显示刷新时间1~10秒连续可调。

(5)具有自校功能,时标信号频率为1MHz。

(6)自行设计并制作满足本设计任务要求的稳压电源。

说明:不能采用频率计专用芯片。

2.发挥部分

(1)扩展频率测量范围为0.1Hz~10MHz(信号幅度0.5V~5V),测量误差降低为0.01%(最大闸门时间≤10s)。

(2)测量并显示周期脉冲信号(幅度0.5V~5V、频率1Hz~1kHz)的占空比,占空比变化范围为10%~90%,测量误差≤1% 。

(3)在1Hz~1MHz范围内及测量误差≤1%的条件下,进行小信号的频率测量,提出并实现抗干扰的措施。

摘要

本设计系统采用高精度恒误差频率测量法。以单片机作为控制和测量的核心部件,由现场可编程逻辑器件EPM7128SLC84-15实现大部分外围电路。

信号的调理整形部分由快速微分比较器LM361完成,为防止正弦信号在过零点的毛刺造成比较器的误动作,LM361采用迟滞比较器的接法。另外,测量结果由键盘显示控制器Zlg7289实现。

7.1.1 总体方案比较与论证

方案1:采用中小规模数字电路构成频率计,由计数器构成主要的测量模块。用定时器组成主要的控制电路。电路框图如图7-1所示。此方案软件设计简单,但外围芯片过多,且频带窄,实现起来较复杂,功能不强,而且不能程控和扩展。

图7-1 方案1电路框图

方案2:采用专用的频率计模块构成主要的控制及测量电路。电路框图如图7-2所示。特点是结构简单,外围电路不多,功能较强。

图7-2 方案2电路框图

方案3:采用单片机和大规模现场可编程逻辑器件实现。单片机采用

89c52,大规模现场可编程器件采用ALTERA 公司生产的7128SLC84-15实

现外围计数功能。

比较:方案1采用中小规模集成电路来实现,系统电路较复杂,扩展性

能差。方案2不符合题目要求。方案3用可编程逻辑器件实现大部分硬件电

路的功能。加上单片机控制,有利于系统进行扩展,并且调试简单。故采用

方案3。

7.1.2 模块电路设计与参数计算

1. 频率测量模块

目前,频率的测量主要有以下四种方法。

(1) 直接测频法

直接测频法是将被测信号整形后加到闸门的一个输入端,在闸门开通的

时间(T )内,被测信号的脉冲被送计数器进行计数。假设计数器的计数值

为N ,由频率计算式可得被测信号频率为f = N/T 。分析可知,本方法在频

率较低时误差较大。增大T 可以提高测量精度,但仍难以满足题目发挥部

分的要求。

(2)组合法

被测信号频率较低时,通过直接测量周期可提高精度。因此,当被测信

号频率较高时采用直接测频,而当被测信号频率较低时采用测其量周期的方

法,然后换算成频率,就称为组合测量法。无论是测频还是测周,对同一信

号而言,所产生的误差相等时对应的频率即为中介频率,它成为测频与测周

的分水岭。这种方法可在一定程度上弥补方法(1)的不足,提高测量精度。

(3)倍频法

由于直接测频法在被测信号频率较高时测量精度高,故可以将被测信号

分为几个频段,在不同的频段采用不同的倍频系数,将低频信号转化成高频

信号,从而提高测量精度。这种方法即为倍频法。

(4)高精度恒误差测量法

根据高精度恒误差测量法的原理,具有如下的测频方案。电路原理见图

7-3。电路中,预置门控信号控制计数的时间,可由计数器实现,CNT1和

CNT2是两个可控计数器,标准信号从CNT1的时钟输入端CLK1输入,设

其频率为S F ,被测信号经过整形后从CNT2的CLK 端输入,设其频率为x F ,

测量值为xe F 。

图7-3 高精度恒误差测量法框图

当预置门控信号为高电平时,经整形后的被测信号的上升沿通过D 触

发器后,输出Q 端启动两计数器同时进行计数,当预置门控信号为低电平

时,经整形后的被测信号的一个上升沿使两计数器同时停止计数。

设在一次记数过程中,对标准信号计数值为S N ,被测信号计数值为

x N ,则存在以下关系:

x F /x N =S F /S N

即: x F =(S F /S N )*x N

相对误差公式为

S S x F F N //2?+±=δ

从相对误差公式中分析可知,其测量精度与被测信号无关,只与标准信

号频率精度有关。显然,S N 决定于预置门时间S T 和标准信号源的频率,

其关系如下:

S N = S T *S F

如果采用频率为1 MHz 的标准信号源,则有

S N /1≤δ

若预置门时间S T 取0.1 秒,则

S N =0.1×100 000 =10 000,δ ≤ 1×410?

可见,在整个测量范围内,精度可达到题目要求,若采用更高频率的信

号源或适当延迟预置门时间,则可达到更高的测量精度。本设计就采用高精

度恒误差测量法。

2. 周期测量模块

由于周期与频率存在倒数关系,故在测得频率后,求出倒数,即得周期

值。

3. 脉冲宽度测量模块

在进行脉冲宽度测量时,首先对被测信号进行整形,然后送测量计数器

进行测量。测量电路在检测到脉冲信号上升沿时开始计数,上升沿的检测由

软件实现。在检测到被测信号下降沿时停止计数,这可由整形后的信号控制

计数器的使能信号来实现,当信号下降为低电平时,自动结束计数器的使能

状态,停止计数。由下式即可计算出脉冲的宽度。

S X WX F N T /=

4. 周期脉冲信号占空比测量电路

测得一信号的脉冲宽度,设其值为1WX T ;再测信号的周期,记录其值

为T ,则其占空比可由下式得到:

占空比 = [1WX T /T ] × 100%

5. 频标发生电路

当给89C52单片机12M 的晶振频率,并且不执行读写外部数据存储器

指令时,单片机的ALE 信号为频率2M 的方波信号,经过2分频后,即可

作为标准频率。分频电路可由D 触发器组成。电路图如7-4所示。

图 7-4 二分频电路

7.1.3 系统电路的实现

1.频率测量模块

采用高精度恒误差测量法,利用PLD组成16位计数器和单片机的T0、T1 8位计数器串联,组成24位计数器。具体电路见7-5。

图 7-5频率测量模块电路

2. 周期测量模块

周期的测量,完全是由软件实现,利用周期和频率的倒数关系,可由频率求得周期。

3.脉冲宽度测量模块

用软件检测被测信号的上升沿,利用中断控制计数器对脉宽进行计数,脉冲的下降沿自动锁存计数,即可实现对脉冲宽度的测量,电路图见图7-6。

图 7-6 脉冲宽度测量模块电路

4.占空比测量

占空比的测量依赖于

频率和脉冲宽度测量电

路,测得脉宽和频率(经

求倒数得到周期)后,由

软件计算得到占空比。

5.信号整形处理模块

输入的信号,经过

LM361整形之后,变成了

严格的方波信号,然后送

入计数器进行计数。具体

调理电路见图7-7。图中,图7-7 调理电路原理图

LM361接成了迟滞比较器的形式,从而避免了过零点信号的毛刺造成整形信

号的误翻转。图中电容是为了消除噪声的干扰。

7.1.4 误差分析

1.高精度恒误差测频法误差分析

(1) 量化误差

设测的频率为X F ,被测频率真实值为xe F ,标准频率为S F ,在一次测

量中,预置门信号时间为P T ,被测信号计数值为x N ,标准时基信号计数值

为s N 。

X F 计数的起止时间都是由被测信号的上升沿触发的,在P T 时间内对

X F 计数x N 无误差,在此时间内S F 的计数值s N 最多相差一个脉冲,即

1≤?s

而 x F /x N =S F /S N

xe F /x N =S F /(s S N ?+)

由以上两式可得

x F =(S F /S N )*x N

xe F =(S F /(s S N ?+))*x N

根据相对误差公式有

xe

x xe xe xe F F F F F ?=?=δ 将以上各式整理可得

xe xe F F ?=s s N ? 因为 1≤?s ,

所以 s s N ?s

N 1≤

即 s

xe xe N F F 1≤?=δ s p s F T N ×=

由此可得出以下结论:

① 相对测量误差与被测频率无关

② 增大P T 或提高S F ,可以减少误差,提高测量精度。

(2)标准频率误差

标准频率误差为s F ?/s F ,因为晶体的稳定度很高,标准频率误差可以进行校准,相对于量化误差,校准后的标准频率误差可以忽略。

2.脉冲宽度测量误差理论分析

设被测信号脉冲宽度为we T ,标准信号频率为s F ,脉冲宽度的测量值为w T ,计数器计得值为x N ,则存在以下关系:

s x w F N T =

因为在测量过程中,x N 可能存在1±个标准频率信号周期的计数误差,则脉宽的相对误差为

s x s w w F N F T T /)/1(=?=1/x N

其中,x N =w T *s F 。可以看出,在s F 一定时,脉宽越小,误差越大。 当w T =100us ,s F =1MHz 时,x N =100,则有%1100/1==?w w T T ,精度

达到题目要求。

7.1.5 软件设计

在软件设计中,将现场可编程逻辑器件中的16位计数器溢出信号送到单片机的计数器T0、T1 两个16位计数器进行串行计数,整体组成32位计数器进行计数。

图7-8给出了系统总体设计电路原理图。

7.1.6 系统调试与指标测试

由于该频率计主要由单片机控制模块、可编程逻辑器件模块和键盘/显示模块等三部分组成。因此,在调试中应首先分模块考查这些模块的功能和指标是否符合设计要求。对于测试中暴露出的问题,通过分析研究,理清是设计的问题,还是制作方面的问题,然后采取相应对策逐一加以解决。在分模块进行调试时,往往需要由另一个模块提供信号,此时,应用信号发生器输出的信号代替,但注意将信号发生器输出信号的波形、幅度、频率等指标调节到与由另一模块提供时尽可能接近的水平。最后,再将各部分连接起来进行总体测试。期间要对照频率计设计任务书所要求达到的性能指标,逐一进行测试验证。因此,用上述方法进行测试时,大部分的工作量和时间均在各模块的测试上,只要将各模块调试成功,最后总体测试的一次性成功率将会很高。这是一种值得推荐的测试方法。

指标测试时所用仪器包括:函数发生器、通用计数器(可测量频率、周期、时间等);交流电压表;示波器。仪器工作频率应覆盖0~20MHz的范围。其中,通用计数器的测量精度应比所制作的频率计的设计指标高一个数量级,以用来检查所制作的频率计的各项指标是否达到要求。

电源接通后,首先定性的检查频率计面板上的各个按键、开关和选钮的功能,对其操作均应有预期的反应。然后用函数发生器的输出作为测试信号,同时接到所制作的频率计和通用计数器的输入端,按测量项目逐一进行测试,并按设计任务书要求改变测试信号幅度和频率,将频率计和通用计数器的读数分别记录下来。

根据记录的测量数据计算各个测量项目的测量误差,给出测试结论。

电子系统设计 实验报告

本科生实验报告 实验课程电子系统设计 学院名称 专业名称测控技术与仪器 学生姓名 学生学号 指导教师 实验地点 实验成绩 二〇年月——二〇年月

实验一、运放应用电路设计 一、实验目的 (1)了解并运用NE555定时器或者其他电路,学会脉冲发生器的设计,认识了解各元器件的作用和用法。 (2)掌握运算放大器基本应用电路设计 二、实验要求 (1)使用555或其他电路设计一个脉冲发生器,并能满足以下要求:产生三角波V2,其峰峰值为4V,周期为0.5ms,允许T有±5%的误差。 V2/V +2 图1-1 三角波脉冲信号 (2)使用一片四运放芯片LM324设计所示电路,实现如下功能:设计加法器电路,实现V3=10V1+V2,V1是正弦波信号,峰峰值0.01v,频率10kHz。 V3 图1-2 加法电路原理

三、实验内容 1、555定时器的说明: NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率的脉波讯号。 a. NE555的特点有: 1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。其延时范围极广,可由几微秒至几小时之久。 2.它的操作电源范围极大,可与TTL,CMOS等逻辑闸配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。 3.其输出端的供给电流大,可直接推动多种自动控制的负载。 4.它的计时精确度高、温度稳定度佳,且价格便宜。 b. NE555引脚位配置说明下: NE555接脚图: 图1-3 555定时器引脚图 Pin 1 (接地) -地线(或共同接地) ,通常被连接到电路共同接地。 Pin 2 (触发点) -这个脚位是触发NE555使其启动它的时间周期。触发信号上缘电压须大于2/3 VCC,下缘须低于1/3 VCC 。

电子系统设计报告

课程设计实践报告 一、课程设计的性质、目的与作用 本次电子系统设计实践课程参照全国大学生电子设计模式,要求学生综合利用所学的有关知识,在教师的指导下,分析和熟悉已给题目,然后设计系统方案、画原理图及PCB、软件编程,并做出课程设计报告。因此,在设计中,要求学生应该全面考虑各个设计环节以及它们之间的相互联系,在设计思路上不框定和约束同学们的思维,同学们可以发挥自己的创造性,有所发挥,并力求设计方案凝练可行、思路独特、效果良好。 本课程设计的目的是为了让学生能够全面了解电子电路应用系统的整个设计过程,逐步掌握系统开发的以下相关技术: (1)熟悉系统设计概念; (2)利用所学数电、模拟电路知识,设计电路图; (3)利用PROTEL软件画原理图及PCB; (4)熟悉系统项目设计报告填写知识; (5)培养团队合作意识。 通过本课程设计,有助于学生更好地了解整个课程的知识体系,锻炼学生实际设计能力、分析和思考能力,使其理论与实践相结合,从而为后续课程的学习、毕业设计环节以及将来的实际工作打好坚实的基础。 二、课程设计的具体内容 电子系统设计实践课程就是锻炼学生系统设计、分析和思考能力,全面运用课程所学知识,发挥自己的创造性,全面提高系统及电路设计、原理图及PCB 绘画等硬件水平和实际应用能力,从而体现出电子系统设计的真谛。下面是各个设计阶段的具体内容。 1.系统方案认识 根据所设定的题目,能够给出系统设计方案与思路

题目:信号发生器产生电路,请设计一个能产生正弦波、方波及三角波电路,并制作原理图,然后阐述其原理。 基本原理: 系统框图如图1所示。 图1 低频信号发生器系统框图 低频信号发生器系统主要由CPU、D/A转换电路、基准电压电路、电流/电 压转换电路、按键和波形指示电路、电源等电路组成。 其工作原理为当分别按下四个按键中的任一个按键就会分别出现方波、锯齿 波、三角波、正弦波,并且有四个发光二极管分别作为不同的波形指示灯。2、各部分电路原理 (1)DAC0832芯片原理 ①管脚功能介绍(如图5所示) 图5 DAC0832管脚图 1) DI7~DI0:8位的数据输入端,DI7为最高位。

电子系统设计题目

《电子系统设计实训》任务书 题A、智能交通灯控制器 一、设计任务 设计制作一个以控制器作为核心的十字路口的智能交通灯控制电路 二、设计要求 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全迅速通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯,经灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停靠到禁行线之外,设计要求如下: ①.用红、绿、黄三色发光二极管作为信号灯,利用传感器或开关模拟检测车辆是否到来,设计制作一个交通灯控制器。 ②.交通灯控制器有两种工作模式,工作模式1为正常定时工作模式,工作模式2为智能工作模式。 ③.在正常定时模式时,主道与支道交替允许车辆通行,主干道每次放行15秒,支干道每次放行10秒;并且每次由亮绿灯转变成亮红灯的转换过程中间,要亮4秒的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外;系统设立显示器显示其所有计时时间。 ④.在正常定时模式时,允许通过键盘对主道、支道的车辆通行时间进行人工修改; ⑤.在正常定时模式时,通过PC机可以方便地进行对主、支干道的通行时间进行修改。系统所有功能,均能够通过上位PC主机对其操作修改与实时动态显示(PC主机端可利用高级语言进行人机界面设计)。 ⑥.在智能工作模式时,主干道处于常允许通行状态,而支干道有车来才允许通行。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯。但每次由亮绿灯转变成亮红灯的转换过程中间,要亮4秒的黄灯作为过渡。 题B、智能温湿度监测报警系统 一、设计任务 设计制作一个利用控制器作为核心的智能温湿度监测报警系统 二、设计要求 由于温湿度的测量是工业和农业生产中重要的一个环节,尤其对于农业发展,比如大棚种植、粮仓管理等等,都必须保证精确的温湿度监控。系统设计要求如下: ①.利用温湿度传感器来检测当前环境中的温湿度信号量,设计制作一个可以监测2个点以上的智能温湿度监控报警系统。 ②.温传感器的精确度达到2℃,湿度传感器的精确度达到±5%。 ③.监测系统可显示当前信息采集的节点号、温度、湿度信息值,并能显示清晰直观当前测量值。 ④.监测系统可以任意设定温度与湿度报警上限值与下限值,当系统测量值超限时报警。(如默认时报警设置温度范围小10℃或大于40℃,湿度范围小于35%或大于60%); ⑤.监测系统可以通过RS232通信接口与PC主机完成数据通信功能,将所采集的各种数据量传输至PC主机端显示(PC主机端可利用高级语言进行人机界面设计,如VB,VC,.NET等)。

现代电子系统设计与实践 复习资料

一、选择题 1、蓝色发光二极管正常工作时,其二端电压大约等于() A、1V B、2V C、3V D、4V 2、二极管由于省电,长寿,鲜艳而常被用来作指示,以下哪个工作电流是合适的?() A、0.5mA B、5mA C、50mA D、500mA 3、三极管在放大状态工作在什么区?() A、截止区 B、放大区 C、非线性区 D、饱和区 4、整流电源中的滤波电容的取值与负载有关,R*C取值?() A、>(2~5)T/2 B、>(2~5)T/2 C、C=1000uF D、随意 5、单晶体管由于其震荡的特有特性常可用于() A、放大特性 B、负阻特性 C、同步控制 D、震荡特性 6、我们经常可以看到,在电子产品中,有黑色的铝材,都是为了(C) A、美观 B、增加重量 C、便于散热 D、便于器件固定 7、运放工作正常的时候,其同相端和反相端的电压是() A、6V B、1/2Vcc C、1/3Vcc D、1/4Vcc 8、差分电路中的射极电阻可以提高放大器的() A、工模抑制比 B、差模电压增益 C、共模电压增益 D、输入信号的线性范围 9、反相器作放大器时,其上的反相电阻可以取() A、100欧 B、1千欧 C、100千欧 D、1兆欧 10、共发射极放大电路中,Uce取多少才合适() A、6V B、1/2 Vcc C、1/3Vcc D、1/4Vcc 11、为了改善组合逻辑电路由于竞争而出现冒险而影响后续电路的正常工作,下面哪项措施是不妥的() A、增加选通门 B、换滤波器 C、选高速器件 D、消除卡诺图中的相切相

12、用CMOS非门制作的晶体振荡器中,没有信号输出,最易疏忽的是() A、忘了换电容 B、震荡电容用了电解电容 C、忘了接反馈电阻 D、忘了接电容 13、设计多输出组合逻辑,既方便又经济的是采用() A、门电路 B、译码器 C、数据选择器 D、CPLD 14、普通的单电压比较器,左转换点,可能出现来回振荡现象,解决的办法是() A、提高比较电压 B、加负反馈 C、加正反馈 D、降低比较电压 15稳压二极管是利用它的()特性 A、稳压特性 B、非线性 C、发光原理 D、单向导电特性 16、高频放大器通常工作在() A、甲类 B、乙类 C、丙类 D、丁类 17、检波二极管是利用它的()特性 A、稳压特性 B、非线性 C、发光原理 D、单向导电特性 18、做实验时常常不小心把电源短路了,但也没发现电源坏了,那是因为() A、电源质量不好 B、有过压保护 C、有输出过载保护 D、运气好 19、OTL放大器通常工作在() A、甲类 B、乙类 C、丙类 D、丁类 20、检波电路的后缀如果输入阻抗不够大,可能会出现() A、惰性失真 B、滤波效果变差 C、限幅失真 D、负锋切割 21、在正交鉴频电路中,为了便于制作正交线圈,和降低成本,实际的正交线圈是一个() A、纯电感 B、晶体 C、并有合适的电容 D、并了个电阻 22、差分电路中的恒流源可以提高放大器的() A、工模抑制比 B、差模电压增益 C、共模电压增益 D、输入信号的线性范围 23、对于MCS-51系列单片机,内部RAM中堆栈指针SP的指针指向()

电子技术课程设计题目

电子技术课程设计一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。 2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: 基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理 电路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。 为了保证单元电路达到设计要求,必须对某些单元电路进行参数计算和电子元件 选择,比如:放大电路中各个电阻值、放大倍数计算;振荡电路中的电阻、电容、振荡频率、振荡幅值的计算;单稳态触发器中的电阻、电容、输出脉冲宽度的计 算等;单元电路中电子元件的工作电压、电流等容量选择。

电子系统设计与实践课程设计——多点温度测量(汇编语言+C语言版)

《电子系统设计与实践》 课程设计报告 课程设计题目:多点温度测量系统设计专业班级:2012级电子信息科学与技术 学生姓名:罗滨志(120802010051) 张倩(120802010020) 冯礼哲(120802010001) 吴道林(120802010006) 朱栖安(120802010039)指导老师:刘万松老师 成绩: 2015 年6 月27日 目录

摘要 (4) 1 总体设计 (4) 1.1 功能要求 (5) 1.2 总体方案及工作原理 (5) 2 系统硬件设计 (6) 2.1 器件选择 (6) 2.1.1主要器件的型号 (6) 2.1.2 AT89C51 (7) 2.1.3智能温度传感器DS18B20 (9) 2.1.4晶振电路方案 (9) 2.1.5 LED液晶显示器 (10) 2.1.6复位电路方案 (10) 2.2 硬件原理图 (11) 3 系统软件设计 (11) 3.1基本原理 (11)

3.1.1主程序 (11) 3.1.2读ROM地址程序 (12) 3.1.3显示ROM地址程序 (13) 3.1.4读选中DS18B20温度的程序 (13) 3.1.5显示温度程序 (14) 3.2软件清单 (15) 3.2.1汇编语言程序 (15) 3.2.2 C语言程序 (24) 4实验步骤 (29) 4.1汇编语言程序调试 (29) 4.2 C语言程序调试 (30) 4.3实验仿真 (31) 5设计总结 (32) 6参考文献: (33)

摘要 温度是我们生活中非常重要的物理量。随着科学技术的不断进步与发展,温度测量在工业控制、电子测温计、医疗仪器,家用电器等各种控制系统中广泛应用。温度测量通常可以使用两种方式来实现:一种是用热敏电阻之类的器件,由于感温效应,热敏电阻的阻值能够随温度发生变化,当热敏电阻接入电路时,则流过它的电流或其两端的电压就会随温度发生相应的变化,再将随温度变化的电压或者电流采集过来,进行A/D转换后,发送到单片机进行数据处理,通过显示电路,就可以将被测温度显示出来。这种设计需要用到A/D转换电路,其测温电路比较麻烦。第二种方法是用温度传感器芯片,温度传感器芯片能把温度信号转换成数字信号,直接发送给单片机,转换后通过显示电路显示即可。这种方法电路比较简单,设计方便,现在使用非常广泛。 关键词:多点温度测量单片机温度传感器 1 总体设计 多路温度测量系统的总体结构如图1所示,根据要求,整个系统包含以下几个部分:51单片机、时钟电路、复位电路组成的51单片机小系统;多块测温模块;显示温度值的显示模块和按键模块。测温模块由温度传感器组成,温度传感器采用美国Dallas半导体公司推出的智能温度传感器DS18B20,温度测量范围为-55 -- +125,可编程为9到12位的A/D转换精度,测温分辨率可达0.0625C,完全能够满足系统要求。DS18B20采用单总线结构,只需要一根数据线DQ即可与单片机通信,多个DS18B20可同时连接在一根数据线上与单片机通信。显示器可采用LCD液晶显示器,显示信息量大、效果好、使用方便。

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

电子系统设计实习报告模板

实习报告 ——电子系统设计 学号:0706110408 班级:电信07-4 姓名:李华君

一.设计内容 基本任务: 1、用一位数码管(DS1)显示自己的学号,大约1秒钟显示1位数字 2、流水灯(循环点亮8个LED)\ 3、通过串口将自己的班级,学号,姓名发送至电脑,用串口调试助手显示。 扩展任务(做完基本任务后,有余力的同学选作,评定成绩加分): 任务一 在ds1302中写入当前时间,然后每个2秒钟通过max232送入计算机显示(年月日时分秒),送出20个时间信息后,蜂鸣器响一声。 任务二 在AT24C02中写入自己的姓名(拼音),学号,并通过串口在电脑显示输出。 任务三 通过ds18b20读入当前温度值,送入数码管显示,显示用三位(DS1,DS2,DS3显示,DS4不焊接),显示温度范围0-99摄氏度,精度0.5摄氏度。 任务四 通过ds18b20读入当前温度值,送入串口显示 二.系统程序代码 1、流水灯: #include #include void delay(unsigned int); unsigned char a; void main() { a=0xfe; P1=a; while(1) { a=_crol_(a,1); delay(500); P1=a; } } void delay(unsigned int z) { unsigned int x,y; for(x=100;x>0;x--) for(y=z;y>0;y--); }

2、数码管: #include sbit dula=P2^7; unsigned char ss,t; unsigned char code table[]={0x3f,0x07,0x3f,0x7d,0x06,0x06,0x3f,0x66,0x3f,0x7f}; void delay(unsigned int); void main() { /*t=0; TMOD=0x01; TH0=(65536-50000)/256; TL0=(65536-50000)%256; EA=1; ET0=1; TR0=1;*/ while(1) { /*if(t==20)*/ for(ss=0;ss<10;ss++) { /*t=0; if(ss==10) ss=0;*/ dula=1; P0=table[ss]; dula=0; delay(500); /*ss++;*/ } } } void delay(unsigned int z) { unsigned int x,y; for(x=z;x>0;x--) for(y=100;y>0;y--); } /*void timer0() interrupt 1 { TH0=(65536-50000)/256; TL0=(65536-50000)%256; t++; }*/ 3、串口: #include

电子系统设计温度控制系统实验报告

电子系统设计实验报告温度控制系统的设计 姓名:杨婷 班级:信息21 学校:西安交通大学

一、问题重述 本次试验采用电桥电路、仪表放大器、AD转化器、单片机、控制通断继电器和烧水杯,实现了温度控制系统的控制,达到的设计要求。 设计制作要求如下: 1、要求能够测量的温度范围是环境温度到100o C。 2、以数字温度表为准,要求测量的温度偏差最大为±1o C。 3、能够对水杯中水温进行控制,控制的温度偏差最大为±2o C,即温度波 动不得超过2o C,测量的精度要高于控制的精度。 4、控制对象为400W的电热杯。 5、执行器件为继电器,通过继电器的通断来进行温度的控制。 6、测温元件为铂热电阻Pt100传感器。 7、设计电路以及使用单片机学习板编程实现这些要求,并能通过键盘置入预期温度,通过LCD显示出当前温度。 二、方案论证 1、关于R/V转化的方案选择 方案一是采用单恒流源或镜像恒流源方式,但是由于恒流源的电路较复杂,且受电路电阻影响较大,使输出电压不稳定。 方案二是采用电桥方式,由电阻变化引起电桥电压差的变化,电路结构简单,且易实现。 2、关于放大器的方案选择 方案一是采用减法器电路,但是会导致放大器的输入电阻对电桥有影响,不利于电路的调节。 方案二是采用仪表放大器电路,由于仪表放大器内部的对称,使电路影响较小,调整放大倍数使温度从0到100度,对应的电压为0-5V。 三、电路的设计 1、电桥电路 通过调节电位器R3使其放大器输出端在0度的时候输出为0实现调零,然后合理选择R1、R2的阻值配合后面放大器的放大倍数实现热电阻阻值向电压值的转化。 通过调节电位器R3使其放大器输出端在0度的时候输出为0实现调零,然后合理选择R1、R2的阻值配合后面放大器的放大倍数实现热电阻阻值向电压值的转化。本次实验中:R1=R2=10KΩ,R3为500Ω的变阻器。

电子系统设计总结报告

电子系统设计总结报告 题目:对讲机 班级:电气 组别:第二组 指导教师: 设计时间:

对讲机 一、引言 1、选题意义 有线对讲机在日常生活中应用广泛。有线对讲机原理简单,设计方便,制作简易,成本低,对于初次进行实验设计的我们来说实验成功率高。而且,有线对讲机广泛应用于医院病员呼叫机、门铃、室内电话等,具有应用范围广,实用性强的特点,所以有线对讲机日益成为生活中不可缺少的部分。为了本次实验的顺利成功,我们首先去了解它的原理过程以及如何正确的去操作它,这样既可以在很大程度上提高我们对知识的掌握与应用,又可以提高我们的动手能力,增强我们对动手实验的兴趣。本次试验,目的既在于提高动手能力,结合理论知识与实际操作于一体,最终设计并制作出具有实用性的产品,又在于磨练个人意志,增强个人耐心,培养团队意识。在产品制作过程中,组内相互分工,互帮互助,协调一致,共同完成此次实验。通过本次实验,大家对于模拟电子技术和数字电子技术会有更好的理解与掌握,也教会大家在遇到问题时如何思考,如何发现问题、解决问题,这些对于今后的学习与研究都是有相当大的帮助的。 2、设计目标 这次实验,我们小组由产品功能出发,设计实验电路图,计算各电子元器件的值,再进行元器件调研来对不同元器件进行比较,最终选择出价格合理,性能完善并且适用于所设计的电路图的元件,再依据所设计的电路图,进行正确焊接与调试,最终得到在50米内,能进行清晰对讲的“半双工对讲机”,即在同一时刻,一方讲话,另一方在距离其50米处可以清晰听到其所讲内容,通过调节转换开关,来进行听与说的角色的相互转换。

3、小组成员分工 二、作品说明 1、功能 对讲机可用于室内电话、医院病员呼叫机、门铃等,可用YUHIHHIH米内进行对讲。本次实验制作成的对讲机为“半双工式对讲机”,即在相同时刻,主机与从机之间只有一个可以讲,而在此时刻,另一个只能听,通过一个双刀双掷开关控制讲话与听话的相互转换。 2、操作说明 操作时,按下电源开关,将控制转换的双刀双掷开关打到一侧,可以完成主机讲话,从机收听主机发送的声音信号;将控制开关打到另一侧,则可以完成从机讲话,主机接收由从机发送的声音信号。通过双刀双掷开关的转换完成主机与从机之间的交流与信息转换。当长时间不使用时,可将控制电源的开关关闭,这样可以节约电能,避免不必要的浪费。

电子系统设计与实践论文范例

东北石油大学课程设计 2009年7 月10 日

东北石油大学课程设计任务书 课程电子系统设计与实践计 题目数字电压表设计 专业计算机科学与技术姓名学号 主要内容、基本要求等 一、主要内容: 利用EL教学实验箱、微机和QuartusⅡ软件系统,使用VHDL语言输入方法设计数字钟。可以利用层次设计方法和VHDL语言,完成硬件设计设计和仿真。最后在EL教学实验箱中实现。 二、基本要求: 1、A/D转换接口电路的设计,负责对ADC0809的控制。 2、编码转换电路设计,负责把从ADC0809数据总线中读出的电压转换成BCD 码。 3、输出七段显示电路的设计,负责将BCD码用7段显示器显示出来。 三、扩展要求 1. 当测量结束后,蜂鸣器鸣响10声。 按照规范写出论文,要求字数在4000字以上,并进行答辩。论文内容包括概述(学习、调研、分析、设计的内容摘要)、EDA技术的现状和发展趋势、对EL教学实验箱和QuartusⅡ软件的掌握程度、数字钟的设计过程(包括原理图或程序设计、编译、仿真分析、硬件测试的全过程),论文中含有原理图、程序、仿真波形图及其分析报告。 完成期限2周 指导教师 专业负责人 年月日

东北石油大学课程设计成绩评价表 指导教师:年月日

摘要 Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 本文设计主要利用VHDL语言在EDA平台上设计一个数字电压表,它的显示位数为4位,由三大部分组成,每一部分又包含了若干子电路,将各电路组合起来,就构成了一个整体。。总的程序由几个各具不同功能的部分组成,其中包括循环控制P1、复位和状态转换P2、编码转换data、BCD码加法do、输出七段显示dout。并且使用Quartus7.2-II软件进行电路波形仿真,下载到EDA实验箱进行验证。 关键词: EDA(电子设计自动化);VHDL(硬件描述语言);ADC0809;数字电压表。

现代电子系统设计习题解答1

第1章习题解答 1.常用电子元器件有哪些? 答、常用电子元器件有电阻器、电容器、电感器、晶体管、电子管、集成电路等。 2.电阻器是如何分类的?图1.13所示为哪种电阻器?请画出该电阻器的电路符号。 答:电阻器通常分为三类:固定电阻、特殊电阻及可调电阻。 图1.13所示为电位器(可变电阻器)。电路符号如下: 3.电阻器有哪些主要技术指标? 答:电阻器的主要技术指标有额定功率、标称阻值、精度、温度系数、非线性、噪声、极限电压等。 4.电阻器的质量如何判别? 答:电阻器的质量判别可采用以下方法: 1)看电阻器引线有无折断及外壳烧焦现象。 2)用万用表电阻测量阻值,合格的电阻器应稳定在允许的误差范围内,如超出误差范围或阻值不稳定,则不能选用。 3)根据“电阻器质量越好,其噪声电压越小”的原理,使用“电阻噪声测量仪”测量电阻器噪声、判断电阻器质量的好坏。 5.电位器的主要技术指标有哪些? 答:电位器的主要技术指标有标称阻值、额定功率、滑动噪声、分辨力、阻值变化规律等。 6.电位器的质量如何判别? 答:可通过以下两个步骤判别电位器的质量: 1)用万用表电阻档测量电位器两个同定端的电阻,并与标称值核对阻值。如果万用表指针不动或比标称值大的多,表明电位器已坏;如表针跳动,表明电位器内部接触不良。 2)测量电位器的滑动端与固定端的阻值变化情况。移动电位器的滑动端,若阻值从最小到最大之间连续变化,并且最小值越小越好,最大值接近标称值,说明电位器质量较好;如阻值间断或不连续,说明电位器滑动端接触不好,则不能选用。 7.色环电阻器的阻值如何识别?有一个四环碳膜电阻器,色环顺序是红、紫、黄、银。这个电阻器的阻值和误差是多少? 答:阻值为270KΩ,误差为±10%。 8.图1.14为光敏电阻器的结构图,请说出其工作原理。 图1.13 习题2图图1.14 光敏电阻器的结构图答:光敏电阻器是一种对光敏感的元件,其电阻值能随着外界光照强弱(明暗)变化而

电子系统设计与实践报告材料

《电子系统设计与实践报告》 院系电气与信息工程学院 专业班级电气151班 学生学号 学生 指导教师叔元 完成日期2018年06月28日

目录 第一章设计目的与任务 (3) 1.1 设计目的 (3) 1.2 设计项目要求 (3) 第一章知识点总结 (3) 2.1 搭建开发环境 (3) 2.2 linux操作系统的常规使用 (4) 2.3 windows 与Linux的文件共享 (5) 2.4 硬件控制 (5) 2.5 写代码控制驱动对应的硬件 (6) 2.6 人机交互 (7) 2.7音视频的播放 (9) 2.8音视频的播放 (9) 2.9 U盘下载 (9) 第3章智能家居的具体实现 (10) 3.1智能家居整体设计图 (10) 3.2智能家居C语言程序 (10) 第四章心得体会 (18)

第一章设计目的与任务 1.1设计目的 1掌握Linux系统的常规使用。 2掌握主控板的常规使用。 3掌握使用linnux系统编程代码控制主控板显示屏。 4对所学知识进行项目的验收检测。 1.2设计项目要求 智能家居系统通过家庭网络,让系统中的各类设备之间相互联动,为我们营造智能化、舒适化、便利化的生活环境。智能家居可以让我们解放双手,提高生活水平。本次实训的要设计缩小版的智能家居,其特点在于操作简单、易于上手、稳定性强,实现实时监控、视频的播放、音乐的播放、图片的显示以及对控制led 灯的开关。所以本周要学习关于嵌入式的基本入门知识,以及相关软件的使用。 第一章知识点总结 2.1 搭建开发环境 步骤: 一:安装虚拟机,直接双击安装程序,不断下一步,最后要求你输入密钥,安装包里面有破解程序 二:解压ubuntu就可以直接使用 虚拟机:用软件来模拟真实的电脑,我们的linux操作系统必须在虚拟机上运行 ubuntu(乌邦图):linux操作系统一个发行版本的名字 使用linux操作系统 登陆的时候千万不要用guest身份登陆,要使用yueqian登陆,密码是123456 linux跟windows的使用习惯有些不同,作为开发人员我们使用命令来控制linux系统打开命令终端:ctrl+alt+t gecubuntu:~$ gec---〉当前用户的名字 ubuntu---〉操作系统的名字 ~ ---〉当前用户的家目录 $ ---〉当前用户是个普通用户 linux中的这些命令统称shell命令,做系统运维的人就是编写程序用这些命令来自动管理服务器 2.2 linux操作系统的常规使用 2.2.1启动Linux系统 启动VMware--》选中Ubuntu12.04---》继续运行虚拟机--》进入桌面系统--》启动命令行 --》双击terminal(ctrl + alt + t)

电子技术课程设计题目

电子技术课程设计 一、课程设计目的: 1.电子技术课程设计是机电专业学生一个重要实践环节,主要让学生通过自己设计并制作一个实用电子产品,巩固加深并运用在“模拟电子技术”课程中所学的理论知识; 2.经过查资料、选方案、设计电路、撰写设计报告、答辩等,加强在电子技术方面解决实际问题的能力,基本掌握常用模拟电子线路的一般设计方法、设计步骤和设计工具,提高模拟电子线路的设计、制作、调试和测试能力; 3.课程设计是为理论联系实际,培养学生动手能力,提高和培养创新能力,通过熟悉并学会选用电子元器件,为后续课程的学习、毕业设计、毕业后从事生产和科研工作打下基础。 二、课程设计收获: 1.学习电路的基本设计方法;加深对课堂知识的理解和应用。 2.完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡; 3.学会设计报告的撰写方法。 三、课程设计教学方式: 以学生独立设计为主,教师指导为辅。 四、课程设计一般方法 1. 淡化分立电路设计,强调集成电路的应用 一个实用的电子系统通常是由多个单元电路组成的,在进行电子系统设计时,既要考虑总体电路的设计,同时还要考虑各个单元电路的选择、设计以及它们之间的相互连接。由于各种通用、专用的模拟、数字集成电路的出现,所以实现一个电子系统时,根据电子系统框图,多数情况下只有少量的电子电路的参数计算,更多的是系统框图中各部分电子电路要正确采用集成电路芯片来实现。

2. 电子系统内容步骤: 总体方案框图---单元电路设计与参数计算---电子元件选择---单元电路之间连接---电路搭接调试---电路修改---绘制总体电路---撰写设计报告(课程设计说明书) (1)总体方案框图: 反映设计电路要求,按一定信息流向,由单元电路组成的合理框图。 比如一个函数发生器电路的框图: (2)单元电路设计与参数计算---电子元件选择: ●基本模拟单元电路有:稳压电源电路,信号放大电路,信号产生电路,信号处理电 路(电压比较器,积分电路,微分电路,滤波电路等),集成功放电路等。 ●基本数字单元电路有:脉冲波形产生与整形电路(包括振荡器,单稳态触发器,施 密特触发器),编码器,译码器,数据选择器,数据比较器,计数器,寄存器,存储器等。

电子系统设计实践报告

电子系统设计实践报告

目录 项目一直流可调稳压电源设计··········错误!未定义书签。项目二带通功率放大器设计···········错误!未定义书签。项目三红外遥控器设计·············错误!未定义书签。项目四 EDA工具软件的使用···········错误!未定义书签。项目五用MSI设计逻辑组合电路·········错误!未定义书签。项目六多功能数字钟的设计···········错误!未定义书签。

项目一 直流可调稳压电源设计 一、 设计任务 设计并制作有一定输出电压调节范围的直流稳压电源。 二、 设计要求 1) 输出直流电压(Uo )调节范围6~9V 。(输入电压Ui~13V ) 2) 纹波小于40mV 。(Vpp ) 3) 稳压系数2210v S -≤? 4) 输出电流0~200mA 。 5) 具有过电流保护功能,动作电流200~230mA 。 6) 利用通用板制作电路。 7) 给出电路的Multisim 软件仿真。 三、 基本工作原理与设计要点 简化的可调直流稳压电源原理见下图,电路由电源变压器、整流电路、滤波电路和稳压电路四个部分构成。 稳压电路为较常用的串联型线性稳压电路,它具有结构简单、调节方便、输出电压稳定性强、纹波电压小等优点示。输入电压Ui 为整流滤波电路的输出电压。稳压电路的输出电压为: 由上式可知输出电压与R4的分压呈线性关系,当改变R4抽头位置的大小,则输出电压也将发生变化。 电路中,R1为Q1、Q2、D2提供静态电流;C2为滤波电容,使Q1的基级电位稳定,一般C2去几十uF ;C3为输出滤波电容,以减小纹波输出;Q1是调整管,应具有足够的电流放大倍数和P CM 。 限流型过流保护电路如图示,当Io 较小,U BE2

电子系统设计与工程实践报告

课程设计报告 题目电子系统设计 课程名称电子系统设计与工程实践院部名称电子与信息工程学院 专业电子信息工程 班级电子1001班 学生姓名李盖(1010910101) 指导教师张诚

目录 摘要 (3) 1 设计要求 (3) 2 总体设计 (3) 2.1系统组成框图 (3) 2.2 系统工作原理 (3) 3 硬件设计 (4) 3.1方波发生电路设计 (4) 3.2 滤波电路设计 (4) 3.3 放大电路的设计 (4) 3.4 加法器的的设计 (5) 3.5滤波整形电路设计 (5) 3.6功率放大电路设计 (6) 4 调试及结果 (6)

信号处理系统的设计 完成人:李盖(电子1001班) 摘要:随着社会的快速发展信息的传输在社会生活的各个领域占据着重要的地位,因此,信号的处理就占据着重要的地位。这个课题的设计目的是传输1khz 的信号,以20khz 的信号做噪声信号,经过滤波整形和功率放大得到要传输的信号。其中1khz ,20khz 信号由555定时器构成的多谐振荡器产生,1khz 的信号经过由运放构成的低通滤波器滤波之后经过加法器有衰减放大之后的噪声信号相加,再经过滤波整形之后得到要传输的信号,经过单管放大器之后最终得到所需要的信号。作品主要由电源部分、信号发生部分、信号处理部分。我们综合各种因素合理选取了所需要的原件,又经过精心布局和走线最终完成了作品。 1 设计要求 主要完成电源、1khz 和20khz 方波的发生、衰减器、放大器、加法器、低通滤波器、功率放大器、比较器等模块的设计。系统以实现传输1khz 的信号为目的,电源为整个系统供电,1khz ,20khz 信号由555定时器构成的多谐振荡器产生,1khz 的信号经过由运放构成的低通滤波器滤波之后经过加法器模拟产生干扰,与衰减放大之后的噪声信号相加,再经过滤波整形之后得到要传输的信号,经过单管放大器之后最终得到所需要的信号。 2 总体设计 2.1系统组成框图 2.2 系统工作原理 本系统属于多种单个芯片构成的数据处理系统,主要由信号发生、信号处理、信号放大等子系统相互联接组成,具有在信号干扰存在的情况下实现 1KHz 方波发生器20KHz 方 波发生器 1KHz 低通 滤波器10KHz 低 通滤波器衰减器 100mVpp TTL 电平TTL 电平放大器 100mV ~3V A+B 滤波整形电路 功放电路 负载600欧 A B 供电电源 0~3V ,1K 方波 0~9V 方波

电子系统设计专题实验

电子系统设计专题实验报告 ——AVR 单片机基础实验 学 院: 电信学院 班 级: 计算机14 学 号: 2110505092 姓 名: 刘鑫

一、实验目的和要求 本实验课程的主要目的是通过一个新型嵌入式单片机为核心的应用系统设计,掌握微型计算机硬件系统结构基本原理,软件开发编程方法,外围接口电路的组成和应用编程技术,以及电子系统设计的相关技术。通过课程实践训练,能够独立实现一个完整的计算机应用系统设计。 要求基本实验部分学习单片机系统的基本硬件组成原理和软件程序设计方法;综合设计实验要求根据题目需求自行设计系统硬件组成电路,并设计实现完成相应功能的应用程序调试任务。 二、实验设备及开发环境 以AVR ATmega128单片机为核心的实验开发系统。实验开发板采用技术性能优良的AVR ATmega128单片机作为核心器件,还特别设计了USB接口模块、Ethernet网络接口模块,还有MCU对外扩插槽,可为电路扩展模块提供必要的准备。 AVR单片机实验开发系统实验测试环境: 1.软件开发平台: PC机WindowsXP操作系统; AVR Studio 4.16 集成开发软件; WinAVR 20080610 C语言编译器; 2.下载编程工具: JTAG ICE mkII在线仿真器; 3.测试目标板: ATmega128实验开发板; 4.测试程序:用C语言编写电路功能测试程序,在WinAVR(GCC)+ AVR Studio编译下通过。 三、实验设计题目及实现的功能 实验一:单片机实验系统开发环境学习 1. 熟悉实验电路的结构原理、元器件名称、作用及相应的接口连接; 2. 学会使用C编译器编辑、编译、调试简单C源程序; 3. 学会使用AVR Studio集成开发软件下载调试并得到正确结果; 4. 熟悉蜂鸣器电路的编程原理 实验程序源代码: #include // I/O端口寄存器配置文件,必须包含 #include // 延时函数调用文件 int main(void) // GCC中main文件必须为返回整形值的函数,没有 // 参数 { PORTE = 0X80; // PORTE输出高电平,使蜂鸣器不响 DDRE = 0X08; // 配置端口PE3为输出口 while(1) { PORTE &= ~(1 << PE3); //PE3置“0”,但是这种设置方法不改变PE口其余位 //的状态,平时程序中推荐这种使用方法 _delay_ms(100); // 延时100毫秒 _delay_ms(100); _delay_ms(100);

现代电子系统设计论文

卷积神经网络在计算机视觉领域的发展 卷积神经网络作为类脑计算领域的一个重要研究成果,已经广泛应用到计算机视觉、自然语言处理、信息检索、语音识别、语义理解等多个领域。卷积神经网络以原始数据作为输入,再从大量训练数据中学习特征,最终达到分类或者识别的功能。经过长时间的研究,如今的卷积神经网络可以用局部连接、权值共享等操作来降低网络复杂度,减少训练参数的数目,使模型对平移、扭曲、缩放图片的识别具有一定的不变性。目前,深度卷积神经网络主要是通过增加网络的层数,使用更大规模的训练数据集,或者改进现有神经网络的网络结构及训练学习算法等方法,来提高神经网络的性能,进而模拟人类视觉系统,使机器获得“抽象概念”的能力。 目前深度卷积神经网络在图像分类、目标检测、人脸识别、行人再识别等多个计算机视觉任务中都取得了巨大成功。本文的主要内容是回顾卷积神经网络的发展历史。重点从增加网络层数、增加数据集规模、以及使用数据扩增技术三个方面介绍卷积神经网络的代表性成果,并展示各种技术方法对于图像分类精度的提升效果。 1.卷积神经网络的发展 从二十世纪四十年代开始,人工神经网络领域已提出了上百种的神经网络模型,其中具有代表性的网络包括感知机、反向传播网络、自组织映射网络、Hopfield 网络、玻尔兹曼机、适应谐振理论等,这些网络在手写体识别、语音识别、图像识别和自然语音处理等领域取得了成功的应用。 目前,卷积神经网络(Convolutional NeuralNetworks, CNN)得到了广泛应用,它是首个真正被成功训练的深层神经网络。该网络的设计灵感来源于Hubel和Wiesel在1962年提出的高级动物视觉系统的认知机理模型。该模型提出高级动物视觉神经网络由简单细胞和复杂细胞构成,神经网络底层的简单细胞的感受野只对应视网膜的某个特定区域,并只对该区域中特定方向的边界线产生反应。复杂细胞通过对特定取向性的简单细胞进行聚类,拥有较大感受野,并获得具有一定不变性的特征。上层简单细胞对共生概率较高的复杂细胞进行聚类,产生更为复杂的边界特征。通过简单细胞和复杂细胞的逐层交替出现,视觉神经网络获得

相关文档
相关文档 最新文档