文档库 最新最全的文档下载
当前位置:文档库 › 四人表决器的设计 课程设计报告

四人表决器的设计 课程设计报告

四人表决器的设计 课程设计报告
四人表决器的设计 课程设计报告

四人表决器的设计

设计要求

某特殊足球评委会由一位教练和三位球迷组成,对裁判员的判罚进行表决时,当满足以下条件即表示同意:

(1)有三人或三人以上同意;

(2)有两人同意,但其中一人必须是教练。

1、方案论证与对比

1.1方案一

1.1.1设计电路如图2

1.2 方案二

用2输入与非门设计,同样可根据表2的真值表作卡诺图以及它的逻辑函数表达式,这里省略没作,因为与方案一比劣势明显。该方案的电路图如下:

图1 2输入与非门接线图

1.3 方案对比与选择

该电路的原理和方案一的区别不大,只是这个全部用的2输入与非门来实现,这个方案中其一元器件使用太过单一,而方案一中用了译码器74HC138,这就使我们更加的熟悉了译码器的适用。其二方案一也大大减少了连线的交叉程度,方案二中因为一个芯片中含有几个与非门,只用一个又太浪费,全部用连线就会有很多交叉,使得用protel布线时带来很大的困难。所以综合以上两个原因我们选择了方案一。

2、分析与设计

2、1 译码器结构分析

四人表决器即要求四个输入端,三个球迷用三线-八线的译码器,但教练的身份比较特别,为使电路简单就直接接逻辑开关[1]。

74HC138是3线-8线译码器,其功能表如表1所示。该译码器有3位二进制输入A0,A1,A2,它们共有8种状态的组合,即可译出8个输出信号Y0-Y7,输出为低电平有效。此外,还设计了E3、E2和E13个是能输入端,为电路功能的扩展提供了方便。由功能表可知,当E3=1,且E2=E1=0时,译码器处于工作状态[2]。

由功能表可得:

Y0=E3*E2*E1*A2*A1*A0

根据各输出的逻辑表达式可以写出最初的逻辑表达式,根据学校元件库能提供的元件,对逻辑表达式化简,于是最终确定完成本次设计的所有元器件,然后画出原理图,见图1

该表清楚的表达出74HC138的逻辑功能,即三输入八输出和使能端的有效电平,同时说明了74HC138的工作状态和输出有效电平。

由74HC138译码器和几个非门,或非门和与门组成的原理图电路,接通电源就进入工作状态,通过开关导通或打开表示输入各评委的投票结果,后面通过发光二极管输出并显示投票结果。

表1: 74HC138译码器功能表

设逻辑A表示教练,B,C,D表示三个球迷,L表示投票结果,赞成用1表示,反对用0表示,通过用1表示,不通过用0表示,根据题意列真值表如下:该真值表通过各个逻辑A、B、C、D的不同取值反映出输出L的各种状态。不同的输入可以得到相应的输出结果即各个表决结果。

表2:原理图的真值表

图2:74HC138引脚图[3]

该引脚图能够帮助我们对74HC138各个引脚连接时清楚各个引脚的功能。

2、2 框图分析

2、3电路图生成

根据以上分析以及它的功能表,真值表和框图分析可以设计出如下的电路图:

图3:工作原理接线图

3 调试及结果

3、1 原理分析

工作过程:由主持人接通电源表示投票开始,开关S1,S2,S3,S4分别表示教

练A和球迷B,C,D.开关打到低电平时表示不同意,高电平表示同意。即按下开关就表示同意,不同意就不按开关。开关S1不接译码器而是直接和译码器的输出端组成与非和或非。B,C,D分别接译码器的三个输入端。能同意的状态有三种情况:(1)当B,C,D三个球迷都同意按下开关时不管教练是否同意都可以通过,所以此时只要Y7输出直接接非门,因为Y7是低电平有效[4],接非门后输出结果为高电平灯亮为同意。(2)当教练按下开关而球迷中只有两人按时,译码器输出端Y3,Y5,Y6为有效电平(低电平),此时三线接入或非门中,再与A线一起接入与门后输出结果为高电平,此结果表示同意。(3)当教练同意前提条件下,球迷只有一人同意时也表示投票结果通过,此时译码器的Y1,Y2,Y4输出端为有效电平(低电平),此时三线接入或非门中,与非门的输出结果为高电平再与A线一起接入与门中,结果为高电平。最后可分别把上述三种情况的输出一起接入或门中,或门的最终输出接发光二极管,灯亮的话就表示投票通过。

3、2调试及结果

所以上面的三种灯亮的情况分别是:

(1)①四人都同意:S1,S2,S3,S4都接通;②只教练不同意,球迷都同意:S1不接通,S2,S3,S4都接通。

(2)在教练同意的前提下(S1接通)球迷有两人同意:① S2,S3 接通,S4不接通;② S3,S4接通,S2不接通;③ S2,S4接通,S3不接通。

(3)在教练同意的前提下(S1接通)球迷只有一人同意:① S2接通,S3,S4不接通;② S3接通,S2,S4不接通;③ S4接通,S2,S3不接通。

用到的非门,或非门,与门等都可以通过芯片来实现。这样就大大简化了电路,也使得我们熟悉了怎么使用这些芯片。

4、总结与致谢

4.1 体会

通过这次的设计,使我们明白了四人表决器电路的基本原理,了解了74LS138,74HC04(非门),74HC02(或非门),74HC08(与门)。四块芯片的功能,也使我们明白了实际电路和设计电路的区别,有好多东西并不是我们想象的那么

简单,电路原理看起来很简单,但实际动手却感觉非常困难,这次设计让我们认识了这点。再者,这次设计实验让我们对理论知识有了更深刻的理解。

4.2 建议:

对这次设计实验让我们认识到我们的动手能力和思维能力不足,希望以后常开展这种设计性的实验。再次,希望下次实验老师能够多提供参考资料,多帮我们解决疑惑问题,以便更快更高质量的完成实验。

4.3 致谢

在这次设计过程中得到了很多的帮助,包括李新君老师、刘永贵老师以及钟明生老师的指导,还有同学的建议,在这里特意表示衷心的感谢。如果仅凭自己一己之力是很难完成这次设计的。还要那些查询了的网站和参考文献提供了宝贵资料,在这里一一表示感谢!

参考文献

[1] 康华光.《电子技术基础数字部分(第五版)》,[M].北京:高等教育出版社,2006年.

[2] 金维香、谢玉梅.《电子测试技术》,[M].长沙:湖南大学出版社,2004年.

[3] 杨碧石.《电子技术实训教程》,[M].北京:电子工业出版社,2005年.

[4] 彭介华.《电子技术课程设计指导》,[M].上海:高等教育出版社,2007年.

附录

附录一用到的器材

附表1 器材清单

附录二方案一中原理图

附图1 总原理图

附录三 PCB图

附图2 原理图的布线图

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

传感器课程设计报告

河北科技大学 课程设计报告 学生姓名:齐文华学号:12L0751265 专业班级:电子信息工程L126班 课程名称:传感器原理及应用 学年学期:2 014 —2 015 学年第一学期 指导教师:陈书旺 2 0 1 4 年12月

课程设计成绩评定表

目录 一、引言----------------------4 二、设计电路及原理------------4 三、元件清单------------------5 四、相关元器件的说明和介绍----6 五、课设步骤------------------11 六、实物图--------------------11 七、发现问题并解决问题--------13 八、心得与体会----------------13 九、参考文献------------------14

一、引言 1.课程设计的目的 1)使学生掌握传感器的使用方法和设计要点的基本技能,加深学生对“传感器原理及检测技术”理论知识的理解,为从事仪器系统开发与设计打下基础。 2)锻炼学生自主独立完成课程设计的能力,培养学生积极动手创新的精神。3)通过课程设计提高我们动手实践能力,为我们以后更好的学习传感器和其他的相关知识奠定基础,使我们更好地适应现代社会的需求。 2.设计思路来源 随着科学技术的发展,许多高端技术已经实现了自动检测与控制。同时传感器的应用也逐渐增多,遍及人们生活的各个方面,给人们的生产和生活带来极大的方便。 本设计选用光敏传感器,对特殊场合的光照强度进行检测与报警。主要应用于农业大棚、城市照明等对光照强度有要求的场合。本设计用发光二极管作为警示灯,当光照强度不满足要求时就会发光起到警示的作用。 二、实际电路及原理 1.电路图

四人抢答器课程设计报告

电子技术课程设计 智力竞赛抢答器 姓名:郑亚林 班级:08级电子一班 学号:200800800461 指导老师:李素梅

四人智力竞赛抢答器 一、设计目的 1、掌握四人智力竞赛抢答器电路的设计。 2、熟悉数字集成电路的设计和使用方法。 3、掌握应用Multisim软件对电路的设计及仿真 二、设计任务与要求 1、设计任务 设计一个可供4名选手参加比赛的智力竞赛抢答器的数字电路。设定答题时间为30秒倒计时,时间到时,蜂鸣器会报警至主持人按下复位键。选手抢答时,数码显示选手组号,同时计分部分对应选手组别的发光二极管发光,在30秒内答对时主持人按下加分键,给相应的组别加一分。 2、设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为J1,J2,J3,J4。 (2)给主持人设置一个控制按钮J5,用来控制系统清零(抢答显示数码管灭灯)和一个加分按钮J6,用来给同学加分,设定最高分为9 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时30秒答题倒计时的功能。当选手按下抢答按钮后,开始倒计时,显示器显示倒计时间,倒计时结束时,扬声器响,保持到主持人将系统清零为止。 三、四人智力竞赛抢答器电路原理及设计 1、设计思路 抢答器整个系统可分为三个主要模块:抢答鉴别模块、计分模块、答题倒计时模块。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用数码管把选手的编码显示出来,对应加分模块的发光二极管也会发光。当有选手抢答成功后,30秒答题倒计时开始跑秒,若选手在规定的时间内答对题,主持人按下J6给相应的选手加一分并且按下J5,系统清零。 2、系统框图 抢答开始后,当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所

四人表决器

四川信息职业技术学院 《数字电子技术》 项目设计说明书 设计题目:_______________ 逻辑笔______________________ 专业: _____________ 应用电子技术____________________ 班级: _________________ 电创13T __________________ 组别: __________________________ 第七组______________________ 姓名: ________________ 123 ___________ 学号:1325001 1320009 139009 指导教师: ____________________ 呂题______________________ 2014 年9月14日

任务单 (1) 摘要 (3) 第一章方案设计 (4) 第二章电路设计及工作原理分析 (5) 2.1电平比较电路 (5) 2.2电平处理电路 (6) 2. 3LED显示电路 (6) 2.4电路原理分析 (7) 第三章电路仿真 (7) 3.1逻辑笔接高电平 (8)

3.2逻辑笔接低电平 (9) 3.3逻辑笔悬空 (10) 第四章电路搭建与调试 (11) 4.1逻辑笔电路上电正常状态图 (11) 4.2逻辑笔输入高电平状态图 (12) 4. 3逻辑笔输入低电平状态图 (13) 附录1电路原理图 (14) 附录2元器件明细表 (15) 附录3集成芯片资料 (16) 项目考核标准及评价表 (18) 摘要 逻辑测试笔,是一种新颖的测试工具,它能代替示波器,万用表等测试工具,通过转换开关,对TTL、CMOS、DTL等数字集成电路构成的各种电子仪器设备(电子计算机、程序控制、数字控制、群控装置)进行检测、调试与维修使用。 它具有重量轻、体积小、使用灵活,清晰直观,判别迅速正确,携带方便及TTL与CMOS兼容使用等优点。 关键词:TTL、CMOS、DTL、逻辑笔 第一章方案设计 对于本次的逻辑笔项U设计方案我们组设计了儿个方案,通过对逻辑笔的了解和讨论我们这组确定了最终设计方案。以下是我们的设计方案: 我们的设计思路如下 1:了解逻辑笔的功能 2:根据功能以及我们的需要上网查阅资料。 3:整理资料从资料中分析工作原理。 4:考虑方案的可行性,再根据方案进行电路连接。 比较电平

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

传感器课程设计报告

目录 1.引言 (1) 2.系统总体设计方案 (1) 2.1 设计思路 (1) 2.2 总体框图 (2) 3.系统硬件设计 (2) 3.1 总硬件原理图 (2) 3.2 模块原理图 (3) 3.2.1 光敏电阻电路 (3) 3.2.2 电机驱动电路 (6) 3.2.3单片机电路 (8) 4.元件清单 (10) 5.系统调试与测试结果 (10) 5.1软件编程与调试 (10) 5.2 硬件调试 (12) 6.测试结果分析 (13) 7.总结 (13) 8.参考文献 (13)

1.引言 随着电子技术的飞速发展,微电子技术得到越来越多的应用,同时影响着人们生活工作的方方面面。自动窗控制系统经历了从无到有,并逐步丰富功能和可靠性发展。 为了减少因光线过强引起的显示器显示模糊程度,解决人们经常手动操作闭合窗帘的烦恼, 在此,我设计出了“自动感光启闭办公百叶窗”,智能控制室内光线. 通过室外光敏电阻感受光强变化,单片机接收光敏电阻信号,从而驱动步进电机使百叶窗闭合和打开,调整进入室内的光线;当室内光线达到适宜时,室内光敏传感器向单片机发出信号,单片机控制步进电机停止转动。这样使室内光线始终保持舒适宜人,让人们能够全神贯注地工作,解决了因窗帘开合,进入室内的光线过强或过弱给人们日常生活和工作带来的不便. 本课设描述的就是一种可根据环境光强的百叶窗控制系统的实现原理和过程。2.系统总体设计方案 2.1 设计思路 本次设计采用AT89C51单片机作为系统控制器,采用光敏电阻强弱转换为电信号的高低电平对现场光强弱的识别,并通过H桥式电路来驱动直流电机,在通过电机的转动来控制窗帘的转动。

五人表决器的设计说明

《数字与逻辑电路基础》课程设计 ——五人表决器的设计 姓名: 学号:2015 学院:自动 任课教师:

目录................................................................... (2) 引言 (3) 摘要.............................................. 错误!未定义书签。实验设计原理...................................... 错误!未定义书签。实验步骤.......................................... 错误!未定义书签。真值表 (4) 卡诺图 (5) 电路图 (7) Multisim仿真截图 (8) 电路设计总结 (8)

引言: 现在火热的综艺节目都会请一些评委为参赛选手进行通过与否进行评判,最后给出通过与否的结果。而评委进行表决时,都会有不同结果,此时,就需要一个多人表决器,而本次设计是为五人表决结果的输出,解决了对每一位评委结果的分析,直接给出最终通过与否 的结果。 摘要: 74HC153芯片是两个四选一数选器共用两个地址码,两个四选一输出端分别输出,两个使能端分别控制,且为低电平有效。由于只有两个地址输入端,则需要构造第三个地址输入端,两四选一数选器分 区工作。 实验设计原理分析: 先用扩展法将74HC153设计构成三输入八选一数据选择器,再利用降维法实现五变量到三变量,最后加上适当的基础门电路即可实现五人多路表决器。最后用Multisim进行仿真实验。

实验步骤如下: 一.列出5人表决结果真值表。

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

传感器课程设计报告-智能家居监控系统设计

电气工程学院 传感器课程设计报告 班级:电132 姓名:袁吉收 学号:1312021047 设计题目:智能家居监控系统设计设计时间:2015.12.22~12.28 评定成绩: 评定教师:

摘要 本文设计的智能家居系统以AT89C51单片机为核心控制单元,实时获取DS18B20温度传感器、TGS813气敏传感器、UD-02感烟传感器数据.并通过LCD1602来显示当前的状态。 关键字:AT89c51、DS18B20、TGS813、UD-02、LCD1602

目录 一、题目要求 1.1 题目介绍 1.2 模块分解 二、方案设计 2.1 方案介绍 三、硬件设计 3.1硬件原理图 四、软件设计 4.1时序图 五、设计总结 六、参考文献 附件:程序代码

一、题目要求 1.1智能家居监控系统设计 以提高家居生活的安全性、舒适度、人性化为目的,设计智能家居监控系统。利用所学的传感器与检测技术知识,实现家居温度、煤气泄漏、外人闯入、火灾(烟雾)的检测(以上检测项目必做。在此基础上增加检测项目并具有可行性,加分。除环境监测项目外,也可增加人体信号检测等。)。各检测节点可通过无线方式连接到主机,检测到危险信号后,主机可采用声光报警或远程报警。 要求(1)用Protel 画出设计原理图; 智能化家居中的 传感器 活动物体 传感器 烟雾传感器 二氧化碳 传感器 温度传感器 火焰传感器 总 线 终端 控制对象

(2)采用Quaters II、Maxplus II、multisim(EWB)、pspice、Proteus中的一种或几种软件,完成系统电路图部分或全部仿真,在设计说明书中体现仿真结果; (3)写设计说明书; 1.2模块分解 1. 温度检测:采用DS18B20温度传感器。 2. 煤气泄漏检测:气敏传感器TGS813来检测空气中的可燃性气体。 3. 烟雾检测:UD-02离子感烟传感器检测空气中烟雾。 二、方案设计 2.1方案设计及选择 在实际设计中我们要考虑的因素有很多,比如成本最低、性价比最高、性能最优、功能最强、界面最友好等等。而本次课设我采用了性价比最高的方案(首先能实现基本功能)。选用了DS18B20、TGS813、UD-02、LCD1602模块实现本次设计。 基于AT89c51的智能家居系统设计 智能家居是人们的一种居住环境,其以住宅为平台安装有智能家居

数字电子技术课程设计报告四人抢答器精修订

数字电子技术课程设计报告四人抢答器 GE GROUP system office room 【GEIHUA16H-GEIHUA GEIHUA8Q8-

数字逻辑电路 课程设计报告系(部):三系 专业:通信工程 班级: 12 通信 2 班 姓名:杨超 学号: 成绩: 指导老师:李海霞 开课时间: 2013-2014 学年 2 学期

一、设计题目 数字式竞赛抢答器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (1) 在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢 答器,每组设定一个抢答按钮供参赛者使用。4名参赛者编号为:1、2、3、4,按钮的编号与选手的编号对应,也分别为1、2、3、4。 (2) 设置一个系统清零和抢答控制开关K=space(该开关由主持人控 制),当开关K被按下时,抢答开始(允许抢答),打开后抢答电路清零。 (3) 抢答器具有一个抢答信号的鉴别、锁存及显示功能。即参赛者的开关 中任意一个开关被按下,锁存相应的编号,并在抢答显示器上显示该编号,同时扬声器发声。此时再按其他任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直到主持人将系统清除为止。 (4) 抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定 时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬

声器响。参赛者在设定时间(9秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5) 如果抢答定时间截止,却没有选手抢答时,本次抢答无效。系统扬声 器报警,并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%;

四人表决器课程设计

电子课程设计报告 题目:设计四人表决器 课程:电子技术课程设计 学生姓名: 学生学号: 1414020221 年级: 2014级 专业:电子信息工程 班级: 2班 指导教师:赵旺 电子工程学院制 2016年5月

设计四人表决器 学生:任春晖 指导教师:赵旺 电子工程学院电子信息工程 1设计的任务与要求 1.1课程设计的任务 1.综合应用数字电路知识设计一个四电路表决器。了解各种元器件的原理及其应用。 2.深入了解表决器的工作原理。 3.掌握multisim软件的操作并对设计进行仿真。 4.锻炼自己的动手能力和实际解决问题的能力。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握四人表决器的设计方法。 1.2课程设计的要求 当输入端有三个或三个以上的高电平,出入端才为高电平(即灯亮,表决通过)。否则灯不亮(表决不通过)。 2四人表决器方案制定 2.1表决电路设计的原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路设计方法。设计组合电路的一般步骤如图一所示。 图一组合逻辑电路设计流程图

根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2.2表决电路的设计方案 设计中我们设A、B、C、D为表决人,若它们中有三个或三个以上同意(即为高电平1),则表决结果通过(即表决结果F为高电平1),否则表决不通过(即F为低电平0)。 ①根据步骤一中所述作出真值表: 表1 真值表

四人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号:11387121 指导老师:田丽娜

四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 简言之,有选手按下时,显示选手的编号。同时,其他人再按下时电路不做任何处理。也就是说,如果有选手按下以后,别的选手再按的话电路不会显示是他的编号。 4.可用555定时器产生频率为1H z的脉冲信号,作为触发器的CP信号。四.四人竞赛抢答器电路原理及设计

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

温度传感器课程设计报告1

温度传感器的特性及应用设计 集成温度传感器是将作为感温器件的晶体管及其外围电路集成在同一芯片上的集成化温度传感器。这类传感器已在科研,工业和家用电器等方面、广泛用于温度的精确测量和控制。 1、目的要求 1.测量温度传感器的伏安特性及温度特性,了解其应用。 2.利用AD590集成温度传感器,设计制作测量范围20℃~100℃的数字显示测温装置。 3.对设计的测温装置进行定标和标定实验,并测定其温度特性。 4.写出完整的设计实验报告。 2、仪器装置 AD590集成温度传感器、变阻器、导线、数字电压表、数显温度加热设备等。 3、实验原理 AD590 R=1KΩ E=(0-30V) 四、实验内容与步骤 ㈠测量伏安特性――确定其工作电压范围 ⒈按图摆好仪器,并用回路法连接好线路。 ⒉注意,温度传感器内阻比较大,大约为20MΩ左右,电源电 压E基本上都加在了温度传感器两端,即U=E。选择R4=1KΩ,温度传感器的输出电流I=V/R4=V(mV)/1KΩ=│V│(μA)。

⒊在0~100℃的范围内加温,选择0.0 、10.0、20.0……90.0、100.0℃,分别测量在0.0、1.0、2.0……25.0、30.0V时的输出电流大小。填入数据表格。 ⒋根据数据,描绘V~I特性曲线。可以看到从3V到30V,基本是一条水平线,说明在此范围内,温度传感器都能够正常工作。 ⒌根据V~I特性曲线,确定工作电压范围。一般确定在5V~25V为额定工作电压范围。 ㈡测量温度特性――确定其工作温度范围 ⒈按图连接好线路。选择工作电压为10V,输出电流为I=V/R4=V(mV)/1KΩ=│V│(μA)。 ⒉升温测量:在0~100℃的范围内加热,选择0.0 、10.0、 20.0……90.0、100.0℃时,分别同时测量输出电流大小。将数据填入数据表格。 注意:一定要温度稳定时再读输出电流值大小。由于温度传感器的灵敏度很高,大约为k=1μA/℃,所以,温度的改变量基本等于输出电流的改变量。因此,其温度特性曲线是一条斜率为k=1的直线。 ⒊根据数据,描绘I~T温度特性曲线。 ⒋根据I~T温度特性曲线,求出曲线斜率及灵敏度。 ⒌根据I~T温度特性曲线,在线性区域内确定其工作温度范围。 ㈢实验数据: ⒈温度特性

课程设计报告---四人抢答器

课程设计报告设计题目四人抢答器 摘要

在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。通过抢答器的数显,灯光和音响等手段指示出第一抢答者。同时还可以设置定时、记分犯规及奖惩等多种功能。 本设计采用手动抢答的方式,有人抢答后,系统自动封锁其他人的抢答按钮,使其不能再抢答,从而实现抢答功能。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过模拟仿真,下载到开发板等工作后数字抢答器成型。 关键字 抢答电路定时电路报警电路时序控制

目录 摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1 设计任务 (4) 2.2 设计要求 (4) 第3章系统设计 (5) 3.1设计方案 (5) 3.2 系统设计 (5) 3.2.1 结构框图及说明 (5) 3.2.2 系统原理图及工作原理 (6) 3.3单元电路设计 (7) 第4章软件仿真 (12) 4.1 仿真电路图 (12) 4.2 仿真过程 (12) 4.3 仿真结果 (14) 第5章安装调试 (14) 5.1 安装调试过程 (14) 5.2 故障分析 (15) 第6章心得体会 (16) 附录使用元件清单 (18) 参考文献 (18)

第1章概述 随着社会的不断发展,人们的生活水平也在不断的提高人们不断要满足物质上的要求,同时对精神上的追求也在不断的提高,现在的社会是一个娱乐的社会。现在各电视台的活动和课外活动都很多。人们在参加活动的时候都对审判有很多的意见,所以为了比赛的准确和公正,就需要有仪器的辅佐。 智力竞赛抢答器就是一种活泼的有趣的供人们娱乐的游戏装置,通过抢答方式不仅能引起参赛者和观众的兴趣,而且能够提高参赛者的敏捷性,同时我们在参与中能够增加一些生活常识和科学知识,因此,在许多比赛活动中为了准确,公正的进行每一场比赛,特别设置了一台具有显示第一抢答者并锁定、犯规警告等多种功能的抢答器,该设计针对各种要求设计出可供八名选手参赛使用的数字式竞赛抢答器,适用于各大中小电视台,学校等单位举行的智力竞赛。数字电路组成的数字系统工作可靠,精度较高,抗干扰能力很强,所以智力竞赛抢答器的设计就有数字电路来控制。 第2章课程设计任务及要求 2.1 设计任务 设计一个四位智力竞赛抢答器。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)设计4组参赛的抢答器,每组设置一个抢答按钮。 (2)给节目主持人设置一个控制开关S,这个开关由主持人控制,进行清零和抢答使能。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示。 (4)抢答器具有抢答计时功能,且一次抢答的时间由主持人设定(如30秒)。 2.2 设计要求

三人表决器电路的设计与安装

三人表决器电路的设计与安装

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路,74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

根据真值表,我们可以写出输出函数的与或表达式,即: 对上式进行化简,得: 将上式变换成与非表达式为: 故,根据输出逻辑表达式,我们可以画出逻辑图为: 5.2、三人表决器电路原理图(仿真图) 我们用发光二极管的状态来表示表决结果通过与否,当发光二级管点亮表示表决结果通过,熄灭表示表决结果不通过。三人A、B、C的表决情况用按钮来实现,按下按钮表示同意,不按表示不同意。 根据上述说明,结合前面的逻辑电路,可得到三人表决器的原理图(仿真图)为: 5.3、三人表决器电路的安装与调试 按安装电路图完成电路的组装后,通上+5v电源,按下输入端A、B、C 的按钮进行不同的组合,观察发光二极管的亮灭,验证电路的逻辑功能。如果 输出结果与输入中的多数一致,则表明电路功能正确,即多数人同意(电路中 用“1”表示),表决结果为同意;多数人不同意(电路中用“0”表示),表决 结果为不同意。 5.4、三人表决器产品正面实物图及反面焊接图 5.5、三人表决器产品实验现象与结果分析 实验现象:当电路板焊接完成后,通上+5v电源,分别同时按下按钮S1、 S2,S2、S3,S1、S3或S1、S2、S3,观察到发光二极管均能点亮,且当分别只按下

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

温度报警器传感器课程设计报告

摘要 随着时代的进步和发展,单片机技术已经普及到我们生活、工作、科研、各个领域,已经成为一种比较成熟的技术, 本文主要介绍了一个基于 89S51 单片机的测温系统,详细描述了利用数字温度传感器 DS18B20 开发测温系统的过程,重点对传感器在单片机下的硬件连接,软件编程以及各模块系统流程进行了详尽分析,特别是数字温度传感器DS18B20 的数据采集过程。对各部分的电路也一一进行了介绍,该系统可以方便的实现实现温度采集和显示,并可根据需要任意设定上下限报警温度,它使用起来相当方便,具有精度高、量程宽、灵敏度高、体积小、功耗低等优点,适合于我们日常生活和工、农业生产中的温度测量,也可以当作温度处理模块嵌入其它系统中,作为其他主系统的辅助扩展。 DS18B20 与AT89C51 结合实现最简温度检测系统,该系统结构简单,抗干扰能力强,适合于恶劣环境下进行现场温度测量,有广泛的应用前景。 关键词:单片机报警系统 DS18B20 温度传感器数字温度计 AT89S52

目录 1、概述 (1) 1.1 课程设计的意义 (1) 1.2 设计的任务和要求 (1) 2、系统总体方案及硬件设计 (2) 2.1 数字温度计设计方案论证 (2) 2.1.1 方案一 (2) 2.1.2 方案二 (2) 2.2 系统总体设计 (3) 2.3 系统模块 (4) 2.3.1 主控制器 (4) 2.3.2 显示电路 (5) 2.3.3 温度传感器 (5) 2.3.4 报警温度调整按键 (6) 3、系统软件算法分析 (7) 3.1 主程序流程图 (7) 3.2 读出温度子程序 (7) 3.3 温度转换命令子程序 (8) 3.4 计算温度子程序 (8) 3.5 显示数据刷新子程序 (8) 3.6 按键扫描处理子程序 (9) 4、实验仿真 (10) 5、总结与体会 (11) 查考文献 (12) 附1 源程序代码 (13) 2 实物图 (20)

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

四人表决器电路设计资料

名称:综合训练项目一题目:四人表决器电路设计 专业: 班级: 姓名: 学号: 辽宁工程技术大学 《数字电子技术》 综合训练项目一成绩评定表

《综合训练项目一》任务书 一、综合训练题目 四人表决器电路设计 二、目的和要求 1、目的:会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习word文档制作。 2、要求:设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。 成果形式:每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。 上交时间:在讲授完第四章中的组合电路设计知识点后的一周之内提交。 三、训练计划 项目综合训练课下1周,课上1节。 第1天:针对选题查资料,确定整体设计方案; 第2~3天:学习Multisim仿真软件,熟悉Visio绘图软件。 第4~5天:论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;; 第6~7天:按格式要求编写整理设计报告。 四、设计要求 1. 每名同学按照自己分配的任务要求完成训练。 2. 绘图统一采用Visio2010。 指导教师: 日期:2017 年月 日

四人表决器在我们生活中应用非常广泛,比如表决等。掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。 本次的课程设计就是利用数字电子技术的知识做一个四人表决器。在mulitisim软件中,利用集成电路,通过四片74LS183和一片74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。经过仿真,符合四人表决的功能。 关键词:四人表决器;74LS183;74LS84;LED数码管

相关文档
相关文档 最新文档