文档库 最新最全的文档下载
当前位置:文档库 › 基于VHDL语言的地铁自动售票系统设计与实现

基于VHDL语言的地铁自动售票系统设计与实现

基于VHDL语言的地铁自动售票系统设计与实现
基于VHDL语言的地铁自动售票系统设计与实现

摘要

本文主要介绍了利用VHDL设计语言和Altera公司的MAX+PLUS II软件开发平台, 来设计实现地铁自动售票系统的核心控制部分的功能:站点选择,票数选择,投币处理,余额计算,自动出票等功能。在本设计中采用了有限状态机的设计方法,将整个售票系统的控制部分化分为五个状态: 选站状态,选票状态,投币状态,出票状态和余额找零状态。最后通过对程序的调试以及相应部分功能的仿真,验证了整个系统的原理和本设计方案的正确性。由于采用了有限状态机的设计方法,使得本系统运行可靠性高,非法状态易控制。

关键词: FPGA,CPLD, VHDL语言,MAX+PLUS II 软件,有限状态机, ,地铁自动售票系统

目录

第一章绪论 (1)

1.1 电子器件的发展和现状 (1)

1.2 设计方法的发展 (3)

1.3 层次化的设计与VHDL的应用 (5)

1.4 本课题的提出与意义 (8)

1.5 本课题研究内容 (8)

第二章 VHDL语言介绍 (10)

2.1什么是VHDL (10)

2.2 VHDL语言的特点 (10)

2.3 VHDL的设计流程 (11)

2.4 VHDL程序的基本结构 (13)

第三章 MAX+PLUS II软件介绍 (14)

3.1 MAX+PLUS II简介 (14)

3.2Max+PlusⅡ开发系统的特点 (15)

3.3 Max+PlusⅡ功能简介 (16)

3.4 Max+plusⅡ设计过程 (20)

第四章地铁售票系统的设计与实现 (24)

4.1 课题要求 (24)

4.2设计分析 (24)

4.3状态机设计 (26)

第五章结束语 (33)

附录 (34)

参考文献 (41)

致谢 (42)

第一章绪论

1.1 电子器件的发展和现状

电子技术的发展总是同电子器件的发展密切相关的,由于电子器件的不断更新换代,电子技术得到了飞速发展,当今信息技术被广泛应用在国民经济的方方面面。多媒体技术的普及、高速宽带网络的建设、数字电视的出现以及与我们日常生活息息相关的各种家用电器,都离不开微处理器、存储器和一些采用行业标准的专用芯片。

这些电子器件的发展经历了从电子管、晶体管、小规模集成电路、中规模集成电路到大规模集成电路和超大规模集成电路几个阶段,其发展趋势是体积越来越小,集成度越来越高。随着半导体技术的迅速发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担,系统设计师们更愿意自己设计专用集成电路(ASIC)芯片,而且希望ASIC的设计周期尽可能短,最好在自己的实验室里就能设计并制造出适用的ASIC芯片,并且能够得到实际的应用。使用ASIC完成电子系统的设计,其优点是集成度高、保密性强、可大幅度地减少印刷电路板的面积和接插件、电路性能好并能降低装配和调试费用、更适合较大规模批量生产、降低生产成本。例如,现在微机中使用的显卡、网卡、数字电视等都是专用的ASIC芯片。采用ASIC设计的不足之处是一次性的投资大,设计周期一般比较长,不便于以后修改设计,因而近几年在ASIC领域出现了一种半定制电路,即现场可编程逻辑器件FPLD。目前使用较多的可编程逻辑器是现场可编程门阵列FPGA (field programmable gate array)和复杂可编程逻辑器件CPLD(complex programmable gate array)。

早期使用的可编程器件有PROM、EPROM、E2PROM、PAL、GAL等,这些芯片的容量一般比较小,只能完成简单的数字逻辑功能,这些器件基本上是使用专用的编程器进行装载。CPLD和FPGA的芯片是在早期使用的PAL和GAL基础上发展起来的,相比早期的可编程器件,现场可编程逻辑器件FPLD的容量大,器件的容量远远大于PAL和GAL,更适合做时序和组合逻辑电路的设计,不但集成度高,而

且逐渐向低功耗发展,芯片的使用电压有+5V、+3.3V和+2.5 V几种,有的芯片外部是+5V而内部的工作电压则是+3.3V或+2.5V。现在市场上FPLD的品种很多,使用较多的是Altera、Xilinx和Lattice这3个公司的产品,各公司都有不同型号的CPLD和FPGA产品,例如,Xilinx的XC系列;Altera的EPLD系列、FPGA 系列;Lattice的ispLSI、ispGAL等。由于各个公司的 FPLD结构不同,使用的装载电缆线是不一样的,设计软件也不同,但共同的特点是:现场可编程逻辑器件FPLD都可以在系统进行编程加载程序,不需要使用专用的编程器,它们都是直接将实验系统和计算机的并行口连接,通过运行软件对芯片进行装载,在实验室中就可将大量的数字电路设计集成到一个大芯片中,实现系统的微型化和可靠性。目前工程设计人员通常都采用这种方法进行电路设计。

1.CPLD或EPLD芯片

这类器件的使用特点是:芯片一经上电加载即已完成编程,不必在每次上电时重新进行加载,也就是当程序烧入芯片后,只有下一次需要再修改程序时才需要对芯片重新进行加载,否则将不会改变先前所烧入的代码,类似于大的GAL芯片。例如,Lattice的ispGAL芯片可以在系统进行编程加载程序,也可以与该公司的ispLSI芯片在同一个电路中加载程序。CPLD在结构上主要由可编程逻辑宏单元LMC(logic macro cell)围绕中心的可编程互连矩阵单元组成,其中LMC的逻辑结构比较复杂,并具有复杂的I/O单元互连结构,可以由用户根据设计的需要生成特定的电路结构,完成一定的功能。

2.FPGA芯片

这类器件不像CPLD或EPLD,每次上电使用时不管是否改变程序都要对芯片进行加载。现在FPGA芯片的容量一般比CPLD或EPLD的容量要大得多,更适合于做较大系统的复杂设计。FPGA芯片通常包含3类可编程资源:可编程的逻辑功能块、可编程I/O块和可编程的内部互连。可编程的逻辑功能块是实现用户功能的基本单元,它们通常排成一个阵列,遍布于整个芯片中;可编程I/O块完成芯片上的逻辑与外部封装管脚的接口,常围绕着阵列排列于芯片四周;可编程的内

部互连包括各种长度的连接线段和一些可编程连接开关,它们将各个可编程逻辑块或I/O块连接起来,构成特定功能的电路。

Xilinx的XC系列器件采用的是现场可编程门阵列FPGA,现场可编程门阵列是一种类似门阵列的结构,它的基本单元以阵列的形式排列在芯片上,但它不像门阵列那样由连线掩膜确定其最终的逻辑功能,而是将规则的连线阵列也已做好,其逻辑功能由各连线节点的控制开关的通断来确定。这些节点的控制开关的值有各种不同的控制方法,如静态随机存储器控制(SRAM)、反熔丝(antifuse based)控制以及由快闪烁存储器(Flash)控制等几大类。

SRAM控制的FPGA器件是用静态随机存储器中存储的数值来控制芯片中可编程节点的通断,以实现芯片的设计功能。这种FPGA在使用时,需要在系统加电时首先进行功能初始化,将存储器的内容加载到芯片的控制器中。

反熔丝控制的FPGA器件是用反熔丝单元来控制可编程器件内的可编程节点的通断,使芯片中每一部分具有应有的逻辑功能,以实现器件的设计功能。反熔丝单元是一个被动的两端器件,通常情况下处于开路状态,在施加充足的电压后,能够永久地导通。用于现场可编程器件的反熔丝其占用芯片面积非常小,速度也很高,这方面的性能几乎可以与门阵列相比。但这种FPGA在编程时需要专用的编程设备,而且芯片功能一经编程确定后,不能再修改,反熔丝控制的FPGA器件价格最低。

Flash控制的FPGA器件是用快闪烁存储器的数值来控制FPGA节点的通断,实现现场可编程目的。这种FPGA的工作特性与SRAM-FPGA相似,但与SRAM-FPGA 相比,它的单元面积小。由于Flash具有不挥发性,使用时不必在每次上电时都重新进行功能加载,所以使用是很方便的。

1.2 设计方法的发展

传统的手工设计方法一般是根据系统的要求,首先画出系统的硬件流程图,再根据功能划分成不同的模块,设计过程一般从底层开始,先要选择具体的元器件,用所选择的元器件进行各功能模块的逻辑电路设计,手工画出一张张的电路原理图,根据原理图制作印刷电路板,每个功能模块都调试通过后,再把各个模块连

接起来进行系统的调试。对整个系统的仿真、调试只能在完成硬件设计以后才能进行,系统设计中的问题在调试的后期才能发现,如果出现设计中没有考虑到的问题,就要再从底层重新设计,这样的设计周期一般较长。设计结果是若干张的电路原理图和信号的连接表,如果是一个大的系统,将是一大摞图纸,以后系统出现问题,查找修改起来都不直观。

上述过程是从底层开始,并在已有的功能模块的基础上来搭建高层次的模块直至整个系统。因此这种电子系统的传统的设计方法称为是自底至上(bottom-up)的设计。这里的底指的是设计树的末枝,设计过程必须从存在的基本单元模块出发,基本单元模块必须是已经设计成熟的单元,也可采用其他项目已开发好的标准单元。

由于电子器件的更新换代,在现代数字系统设计中,现场可编程器件FPGA和CPLD的使用越来越广泛,与此同时基于大规模可编程逻辑器件的EDA (electronic design automation)硬件解决方案(EDA solution)也被广泛采用。这使得电子电路的设计方法也发生了根本性的变化,出现了电子电路设计自动化。

计算机应用的普及,自然产生了计算机辅助设计(CAD)。最早的电子CAD软件仅仅是一些绘图软件,包括绘制电路原理图、绘制印刷电路板图、绘制集成电路芯片板图以及一些简单的数值计算等。当出现了自动设计、验证和自动布局布线工具后,这类软件称之为第一代的EDA软件。后来又出现了第二代的EDA软件,它包括逻辑综合、仿真以及“自顶向下”的设计等。近年来又出现了第三代的EDA软件,称为电子系统设计自动化EsDA,可以通过概念输入(框图、公式等)自动生成各种设计结果,包括ASIC芯片设计结果、电路原理图、PCB板图以及软件等,并且可以进行机电一体化设计。与传统的设计方法不同,现代电子工程师们设计系统的过程是首先描述系统,然后用EDA工具在计算机上进行系统级仿真,设计适合自己用的ASIC芯片,用通用和专用芯片构成系统,进行功能模拟和带时延的仿真,布PCB板,对PCB板进行仿真,最终生产调试成功。

EDA,即电子设计自动化。所谓自动化是指利用计算机完成电子系统设计,现在已经逐渐成为电子系统的主要设计手段,尤其是采用可编程器件和软件仿真模拟方法的使用,给传统的电路设计方法带来了重大的变革,它使得设计工程师们从繁杂而零乱的工作中解放出来,而把着眼点放在电路的设计上,是一种节省时

间而又高效率的现代设计理念。EDA技术以计算机为工具,设计者只需要完成对系统功能的描述,就可以由计算机软件进行处理,代替人来完成数字系统的逻辑综合、仿真模拟和布局布线等工作。其中模拟硬件电路在实际工作时的时序关系是相当重要的,因为系统设计上的错误通过仿真模拟波形时就可以发现,而不是等到线路板调试时才发现错误,即使是在线路板调试时又发现错误,在外部连接线已经固定的情况下,只要对内部的软件设计进行改进,就可达到修改设计方案的目的,这种方法比起传统的电路设计方法进步多了,修改设计如同修改软件一样方便。

当今的硬件设计方法有几大优点:一是设计方法由手工设计变为自动设计,可以大大提高设计效率和设计质量,缩短设计周期;二是在系统设计和各个过程中可分别进行仿真,保证了设计的正确性,使得设计能够一次成功;三是能够根据实际需要来自行设计ASIC芯片。

可编程逻辑器件和EDA技术给硬件系统设计者提供了强有力的工具。如今,只要拥有一台计算机、一套相应的EDA软件和空白的可编程器件芯片,在实验室就可以完成数字系统的设计与生产。可以说,当今的数字系统设计离不开可编程器件和EDA设计工具。

1.3 层次化的设计与VHDL的应用

1.自顶向下(top-down)的设计方式

一般来说,EDA解决方案是一种采用计算机自顶向下(top-down)的设计方式。这里的顶指的是设计树的树根,按照数字系统的功能描述,把系统划分为若干个功能模块,然后再把每个模块划分为不同的层次,由高层次到低层次逐步细化。这样的设计过程称之为自顶向下的设计方式。在底层设计时对逻辑进行必要的描述,并依赖特定的软件执行逻辑优化(logic optimization)与器件映射(device mapping),自顶向下设计的特点是每一层次划分时都要对某些目标进行优化,这些目标包括工作速度、芯片面积和芯片成本等。最后再使用由各芯片生产厂商提供的编译器执行布线(route)和网单优化(netlist optimization)。而直接采

用原始逻辑图或布尔方程输入进行电路设计虽然对于简单的逻辑可以获得非常有效的结果。但是对于复杂的系统设计,应用它们就很容易产生错误,而必须依靠一种高层的逻辑输入方式,这样就产生了硬件描述语言HDL(hardware description language)。所谓硬件描述语言,就是对实际的硬件设计用语言的方式来描述,能够把复杂的电路设计用形象化的语言方式表示出来,可以描述硬件电路的功能,信号连接关系以及定时关系的语言,它能比电路原理图更能有效地表示电路的特征。利用硬件描述语言编程来表示逻辑器件及系统硬件的功能和行为,是该设计方法的一个重要特征。

硬件描述语言有HDL、AHDL、Verilog HDL和VHDL等。VHDL语言的全称是“超高速集成电路硬件描述语言”(very high speed integrated circuit hardware description language)属于硬件描述语言中的一种,对系统硬件的描述功能很强而语法规范又比较简单。

其中符合IEEE-1076标准的VHDL的应用成为EDA解决方案中的首选。VHDL这

种行为描述性语言将被广泛应用到新一代EDA硬件设计方案中,因此对VHDL的应用成为EDA解决方案的核心,更是整个电子逻辑系统设计的核心。

2.VHDL语言的应用

VHDL语言源于美国政府于1980年开始启动的超高速集成电路(very high speed integrated circuits,vHSIC)计划。在这一计划的执行过程中,专家们认识到需要有一种标准的语言来描述集成电路的结构和功能。这样,vHSIC的硬件描述语言(vHSIC hardware description language),即VHDL诞生了。很快,这一标准被美国电气和电子工程师协会(IEEE)所承认。

VHDL语言作为高级硬件行为描述型语言,如今已经广泛被应用到FPGA/CPLD 和ASIC中的设计。严格地讲,VHDL是一种用来描述数字逻辑系统的“编程语言”。它通过对硬件行为的直接描述来实现对硬件的物理实现,代表了当今硬件设计的发展方向。VHDL是为了满足逻辑设计过程中的各种需求而设计的。

第一,它是可以用来描述逻辑设计的结构,比如逻辑设计中有多少个子逻辑,而这些子逻辑又是如何连接的。除此之外,VHDL并不十分关心一个具体逻辑依

靠何种方式实现,而是把开发者的精力集中到逻辑所实现的功能上。

第二,VHDL采用类似高级语言的语句格式完成对硬件行为的描述,具备更强的模块化能力,并拥有良好的可读性以及程序的移植性。另外,VHDL淡化状态机,与或表达式等早一代硬件描述语言中的元素,用更类似于高级语言的表达式取代。这些也是为什么把VHDL称为“编程语言”的原因。

第三,VHDL给出逻辑的模拟与调试为设计工作提供了最大的空间。VHDL调试的过程是相当灵活的:一方面可以使用传统的调试方法,比如适用传统的波形激励或编写测试向量;另一方面,可以使用一些VHDL原码调试器,这类调试器可以大大加快VHDL程序调试的速度,因为它可以像调试软件一样单步跟踪调试每一条语句,并且可以设置断点,观察内部变量等。这些功能是传统的调试仿真方法所不具备的。这种调试器比较著名的有Aldec的Active-HDL。拥有高效率的生成代码,能够节省大量的资源。甚至不必编写任何测试向量便可以进行源代码级的调试。而且,设计者可以非常方便地比较各种方案之间的可行性及其优劣而不需做任何实际的电路实验。

鉴于VHDL具有以上诸多优点,只要开发者具备一定的高级语言程序设计基础,拥有Pascal、C等计算机高级语言的基础,同时又了解一些基本数字电路的设计方法,在此基础上来学习VHDL程序设计应该是比较容易的,可以轻松地掌握VHDL 使硬件工作软件化。现代电子系统设计人员应该把VHDL语言作为一种基础知识来学习,并要求能够熟练地使用EDA的设计工具。

在EDA解决方案中应用VHDL有助于缩短数字系统的开发周期。但还应该注意,除了靠编写VHDL程序简化逻辑之外,还需要选择合理的HDL synthesis。不同公司的HDL synthesis所支持的语法并不相同,而且生成的代码效率也不同。经实验对比,相同的程序经过不同的编译器编译,芯片资源的消耗相差高达10%。另外,由于知识产权和专利保护问题,目前国际上尚无统一的集成化开发工具可以完成从逻辑输入到下载所需的全部工作,这也给VHDL在EDA解决方案中的广泛应用带来了一定的困难。但是总的来讲,广大厂商均遵循VHDL’87这一通用标准并互相提供良好的软件接口,在某种程度上可以缓解该矛盾。随着VHDL’93标准的广泛应用以及第二代可编程逻辑器件的推出,VHDL必将在未来的EDA解决方案中发挥不可替代的作用。

1.4 本课题的提出与意义

就目前而言,实现地铁自动售票系统的控制方法很多,可以用可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。而FPGA(Field Programmable Gate Array)是专用集成电路(ASIC)中集成度最高的一种,用户可对FPGA内部的逻辑模块和I/O模块重新配置,以实现用户的逻辑。同时,与之相配套的VHDL(Very High Speed Integrated Circuit Hardware Description Language)语言,是一种用来描述数字逻辑系统的编程语言,采用类似高级语言的语句格式完成对硬件行为的描述。VHDL的优越性,成为现在硬件设计师的首选语言。此外,兼容VHDL语言的软件也越来越多,也越来越实用。MAX+PLUS II正是其中的佼佼者。MAX+PLUS II(Multiple Array Matrix and Programmable Logic User System)是美国Altera公司自行设计的一种软件工具,它具有原理图输入和文本输入(采用硬件描述语言)两种输入手段,利用该工具所配备的编辑、编译、仿真、综合、芯片编程等功能,将设计电路图或电路描述程序变成基本的逻辑单元写入到可编程的芯片中(如FPGA芯片),即可做成ASIC芯片。

本课题正是基于以上现状提出的,有着重要的意义。

1.5 本课题研究内容

本课题的主要研究目标是针对于地铁自动售票系统的核心控制部分进行研究和设计,主要内容包括:

1.首先对于目前比较流行的电路设计的VHDL语言进行了相关介绍.主要介绍了:VHDL的起源,VHDL语言的特点,VHDL的设计流程,以及VHDL程序的基本结构.

2.其次介绍了不Altera公司推出的第三代PLD开发系统MAX+PLUS II.主要包括:MAX+PLUS II开发系统的特点,MAX+PLUS II开发系统的功能以及MAX+PLUS II的设计过程.

3.最后对于地铁自动售票系统的核心控制部分的功能进行分析,采用状态机的设计方法,运用VHDL语言编程并进行了相关波形仿真,通过仿真验证了地铁售票系统的控制部分功能的正确性.

在上述工作中,对地铁售票系统核心控制部分的设计以及运用VHDL语言编程所进行的逻辑设计和仿真是本论文的主要工作。

第二章 VHDL语言介绍

2.1什么是VHDL

VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。但是,由于它在一定程度上满足了当时的设计需求,于是他在1987年成为ANSI/IEEE的标准(IEEE STD 1076-1987)。1993年更进一步修订,变得更加完备,成为ANSI/IEEE的ANSI/IEEE STD 1076-1993标准。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言. 在新的世纪中,VHDL和Verilog语言将承担起大部分的数字系统设计任务.

VHDL的英文全写是:VHSIC(Very High Speed Integrated Circuit)Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。

2.2 VHDL语言的特点

VHDL是一种全方位的硬件描述语言,具有极强的描述能力,能支持系统行为级、寄存器传输级和逻辑门级三个不同层次的设计,支持结构、数据流、行为三种描述形式的混合描述,覆盖面广,抽象能力强,因此在实际应用中越来越广泛。

VHDL的主要特点有:

①作为硬件描述语言的第一个国际标准,VHDL具有很强的可移植性。

②具有丰富的模拟仿真语句和库函数,随时可对设计进行仿真模拟,因而

能将设计中逻辑上的错误消灭在组装之前,在大系统的设计早期就能查验设计系统功能的可行性。

③设计层次较高,用于较复杂的计算时,能尽早发现存在的问题,从而缩短设计周期。

④VHDL的设计不依赖于特定的器件,方便了工艺的转换。

⑤支持大规模设计的分解和已有设计的再利用。

⑥对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动地把VHDL描述设计转变成门级网表。

⑦VHDL用源代码描述来进行复杂控制逻辑的设计,灵活又方便,同时也便于设计结果的交流、保存和重用。

2.3 VHDL的设计流程

应用VHDL语言进行电子设计,首先要了解基本的设计流程。在EDA解决方案中采用VHDL要经过的流程如图2.1所示。

从图2.1可以看出,在分析系统指标后,就进入了设计阶段,首先是设计输入,可以采用的输入方式有VHDL语言、VHDL语言与逻辑图混合输入或采用逻辑图输入,这一步通常都使用芯片生产商提供的开发工具,有关这方面的内容将在后面介绍。接下来是对所输入的源文件进行编译,即HDL Synthesis。通常称为合成或逻辑合成,这一步通常由三个过程组成,分别是HDL语言合成(language synthesis或HDL compilation)、逻辑优化(optimization)、目标映射(technology mapping)。前两个过程很好理解,最后一步是为了适应不同公司的编译器而生成EDIF(electronic design interchange format)的中间文件,也有的生成AHDL、DSL、QDIF、XNF等内部网单描述文件。有些公司的软件自带编译器,而也有些公司的软件不带编译器,这时需要使用第三方软件,比较著名的有Exemplar 公司的Leonardo Spectrum 和Synplicity 公司的Synplify等。一般这类工具都采用Behavior Extracting Synthesis Technology(B.E.S.T.)和Synthesis Constraints Optimization Environment(SCOPE),这两种技术可以提高VHDL逻辑合成的效率和可靠性。另外,这类工具在生成EDIF文件的同

时还生成VHDL格式的网单,可以用于对逻辑功能调试(functional simulator)。这里的逻辑功能调试也就是图中的功能仿真,这是在芯片装载程序前的功能仿真模拟,它仅仅验证逻辑的正确性。在一般的EDA解决方案中,这一步一般采用编写测试向量或加激励波形的方法,只能对逻辑的输出信号进行模拟,而对于一些重要的内部信号则无能为力了。在采用VHDL后可以借助HDL Synthesis生成的VHDL格式的内部网单使用一些特殊的调试器对VHDL源程序进行类似于高级语言调试的单步跟踪调试。这样不仅可以观察重要的内部信号,而且可以清楚地看到程序执行的流程。一般还要经过时序模拟(timing simulatior)也就是图 2.1中的时序分析,在这里可以对电路的工作频率、工作延时做定性的模拟,虽然这也还会跟实际情况有一定的差距,但还是建议开发者要进行这一步模拟。

图2.1VHDL的设计流程

对于这些步骤,一般均可借助由芯片生产商提供的开发软件完成。因此一定要在这里将各项功能都调试正确,接下来就是对芯片进行装载程序,在这里要用各

个芯片厂商提供的编译器来生成可用于装载(download)的文件进行装载。例如,Altera公司的软件生成的装载文件是 .POF,用软件菜单中的Program命令装载芯片;Lattice公司的软件生成的装载文件是 .JED,用软件菜单中的Fitter命令装载芯片。每个公司的开发工具都具备这些功能。最后是对装载的芯片进行系统调试以验证设计的正确性。如果其中哪一步出现错误,都要重复前面的步骤,直到最终产品调试通过。

2.4 VHDL程序的基本结构

一个VHDL程序由5个部分组成,包括实体(ENTITY)、结构体(architecture)、配置(coxnfiguration)、包(package)和库(library)。实体和结构体两大部分组成程序设计的最基本单元。图2.2表示的是一个VHDL程序的基本组成。配置是用来从库中选择所需要的单元来组成该系统设计的不同规格的不同版本,VHDL和Verilog HDL已成为IEEE的标准语言,使用IEEE提供的版本。包是存放每个设计模块都能共享的设计类型、常数和子程序的集合体。库是用来存放已编译的实体、结构体、包和配置。在设计中可以使用ASIC芯片制造商提供的库,也可以使用由用户生成的IP库。

图2.2 VHDL程序的基本组成

第三章 MAX+PLUS II软件介绍

3.1 MAX+PLUS II简介

Max+PlusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA), Altera是世界上最大可编程逻辑器件的供应商之一。使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面,Maxplus2被公认为是最易使用,人机界面最友善的PLD开发软件,特别适合初学者使用,其软件操作界面如图3.1所示。

图3.1 MAX+PLUS II 软件操作界面

3.2Max+PlusⅡ开发系统的特点

1.开放的界面

Max+plusⅡ支持与Cadence,Exemplarlogic,Mentor Graphics,Synplicty,Viewlogic和其它公司所提供的EDA工具接口。

2.与结构无关

Max+plusⅡ系统的核心Complier支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。

3.完全集成化

Max+plusⅡ的设计输入、处理与较验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。

4.丰富的设计库

Max+plusⅡ提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑功能(Macro-Function)以及新型的参数化的兆功能(Mage-Function)。

5.模块化工具

设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设计环境用户化。

6.硬件描述语言(HDL)

Max+plusⅡ软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL和Altera 自己的硬件描述语言AHDL。

7.Opencore特征

Max+plusⅡ软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。

3.3 Max+PlusⅡ功能简介

1.原理图输入(Graphic Editor)

MAX+PLUSII软件具有图形输入能力,用户可以方便的使用图形编辑器输入电路图,图中的元器件可以调用元件库中元器件,除调用库中的元件以外,还可以调用该软件中的符号功能形成的功能块.图形编辑器窗口见图3.2。

图3.2 图形编辑器窗口

2.硬件描述语言输入(Text Editor)

MAX+PLUSII软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据,文本编辑器窗口见图3.3。

图3.3 文本编辑器窗口

3.波形编辑器 (Waveform Editor)

在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。波形编辑器窗口如图3.4所示。使用时只要将欲输入波形的时间段用鼠标涂黑,然后选择工具条中的按钮,例如,如果要某一时间段为高电平,只需选择按钮”1”。

还可以使用输入的波形(*.WDF文件)经过编译生成逻辑功能块,相当于已知一个芯片的输入输出波形,但不知是何种芯片,使用该软件功能可以解决这个问题,设计出一个输入和输出波形相同CPLD电路。

4.管脚(底层)编辑窗口 (Floorplan Editor)

该窗口用于将已设计好逻辑电路的输入输出节点赋予实际芯片的引脚,通过鼠标的拖拉,方便的定义管脚的功能。管脚(底层)编辑窗口见图3.5。

5.自动错误定位

在编译源文件的过程中,若源文件有错误,Max+Plus2软件可以自动指出错误类型和错误所在的位置。

6.逻辑综合与适配

该软件在编译过程中,通过逻辑综合 (Logic Synthesizer)和适配(Fitter) 模块,可以把最简单的逻辑表达式自动的吻合在合适的器件中。

7.设计规则检查

图3.4 波形编辑器窗口

图3.5 管脚(底层)编辑窗口

地铁自动售票系统概要设计说明书

地铁自动售票系统概要设计说明书 一、引言 1.1 编写目的 编写地铁自动售票系统需求分析说明书的目的在于从详细设计的角度明确该系统的功能范围,明确与业务主机/终端系统的接口和业务处理模式,使系统开发人员和产品管理人员明确产品功能,可以有针对性的进行系统开发、测试、验收等各方面的工作。 1.2 系统背景 随着社会经济的发展,城市交通的日渐拥堵,地铁建设日益成为人们日常生活中不可或缺的一部分。但是,随着客流量的增加,人工售票窗口又不足,人们排队浪费大量时间,售票人员劳动强度过大,人们的要求不能得以满足。因此需要开发一种地铁自动售票系统,它的产生和应用将大大满足人们的需求。 1.3 参考资料 《地铁自动售票系统需求分析说明书》 《北京地铁AFC系统使用指南》 《软件工程》——张海藩编著清华大学出版社 二、总体设计 2.1 需求规定 主要输入项目:选项指令、钱、一卡通 主要输出项目:系统提示、钱、一卡通、凭条 处理功能:站点查询、自动售票、一卡通充值 结构图: 2.2 模块设计

2.2.1站点查询 IPO图: 输入处理输出 活动图: 时序图:

2.2.2自动售票 IPO图: 输入处理输出

时序图: 2.2.3一卡通充值 IPO图: 输入处理输出 活动图:

时序图:

2.3运行设计 1)终端机: 本软件运行最低配置:20T硬盘空间,256M内存,64 M显存,900 MHz Pentium Ⅲ 推荐配置:40T硬盘空间,4G内存,512显存,2.8G Pentium D 2)客户机:200M,64M内存,32M显存,900 MHz Pentium Ⅲ 3)操作系统:Windows 98以上各版本,Linux 8.0 以上各版本 2.4 接口设计 1)外部接口(用户界面) a. 大屏幕液晶显示器能够使显示更加清晰美观 b. 设备外观颜色可以根据客户要求量身定做,针对客户的功能模块都有操 作提示灯

地铁自动售票系统需求分析说明书

地铁自动售票系统需求分析说明书 一、引言 1.1 编写目的 编写地铁自动售票系统需求分析说明书的目的在于明确该系统的功能范围,明确与业务主机/终端系统的接口和业务处理模式,使系统开发人员和产品管理人员明确产品功能,可以有针对性的进行系统开发、测试、验收等各方面的工作。1.2 系统背景 随着社会经济的发展,城市交通的日渐拥堵,地铁建设日益成为人们日常生活中不可或缺的一部分。但是,随着客流量的增加,人工售票窗口又不足,人们排队浪费大量时间,售票人员劳动强度过大,人们的要求不能得以满足。因此需要开发一种地铁自动售票系统,它的产生和应用将大大满足人们的需求。 1.3 参考资料 《北京地铁AFC系统使用指南》 《软件工程》——张海藩编著清华大学出版社 二、任务概述 2.1 目标 开发出一套地铁自动售票系统,能实现站点查询、自动售票、一卡通充值的功能。易懂,好操作,以满足人们的需要,减轻人工售票窗口的工作压力。 2.2 用户的特点 由于使用自助终端的人员是广大市民,所以自助终端的操作界面应满足小学以上文化程度的人无障碍的操作。 2.2 假定和约束 1)使用监控管理功能的人员应具有较强的业务技能和基本的电脑知识,对他们提供的操作界面应保证他们经过简单培训后无障碍的操作; 2)报表应该可以在多种操作系统上浏览、打印; 3)系统应提供审记追踪功能; 4)应该把有可能变动的参数存放到配置文件或数据库中,保证修改参数的灵活性。 三、需求规定

3.1 对功能的要求 3.1.1功能划分 1)站点查询 2)自动售票 3)一卡通充值 3.1.2功能描述 1)站点查询 用户通过触摸屏可以查询任何线路上的任意站点信息,该功能面向所有用户。 操作流程: 用户→选择站点查询服务→选择线路→选择站点→输出站点信息 2)自动售票 用户在确认购票数量、应付金额之后,从硬币入口处逐枚投入1元硬币,或从纸币入口逐张插入八成新的纸币,纸币面额仅限于5元、10元。点击确认付款后售票机会自动出票、找零。 操作流程: 用户→选择购票张数→确认购票数量、应付金额→投币→显示投入金额→确认付款→出票、找零 3)一卡通充值 一卡通用户可通过售票机自动充值,纸币只能使用八成新面额50元或者100元的纸币,一次充值的最大金额为500元,若充值金额大于500元,分两次办理,但卡内最大储值金额不得超过1000元。 操作流程: 用户→插入一卡通→确认卡内余额→选择充值服务→投币→确认充值金额→充值→显示当前余额→选择是否打印凭条→退卡 3.2 对性能的要求 3.2.1数据精度 在精度需求上,根据使用需要,在各项数据的输入、输出及传输过程中,可以满足各种精度的需求。 3.2.2时间特性 响应时间0.03秒,更新处理时间0.03秒,数据转换与传输时间0.03秒。3.2.3灵活性 当用户需求,如操作方式、运行环境、结果精度、数据结构及其他软件接口等发生变化时,设计的软件可做适当调整,灵活性非常大。

地铁自动售票机

地铁自动售票机

————————————————————————————————作者:————————————————————————————————日期:

地铁自动售票机 设计要求:设计一个自动售票机,用开关电平信号模拟投币过程,每次投一枚硬币,但可以连续投入数枚硬币。机器能自动识别硬币金额,最大为1元,最小为5角。设定票价为2.5元,每次售一张票。 购票时先投入硬币,当投入的硬币总金额达到或超过票的面值时,机器发出指示,这时可以按取票键取出票。如果所投硬币超过票的面值则会提示找零钱,取完票以后按找零键则可以取出零钱。 ————殷一般、郭洋洋、丁啸小组课题系统框图如图12-1所示。 图12-1 自动售邮票机系统框图 12.2设计提示

此设计问题可分为币值输入相加模块、主控模块和币值显示几部分。在币值输入相加模块中,用两个开关电平输入按钮分别代表2种硬币输入,one表示1元,half表示5角,每按一次,表示投入一枚硬币。设置5角和1元输入计数电路,并设置控制电路,由5角和1元输入的次数控制十进制加法器的加数A和被加数B,使输入的币值实时相加。用两位数码管显示当前的投入币值,显示的币值位×元×角,输入控制模块状态表如表12-1所列。 在主控模块中设置一个复位信号reset,用于中止交易(系统复位)。设置一个取票信号ok,一个邮票给出信号tout,tout接LED显示,灯亮则表示可以取票,否则取票键无效,按ok键取票,灯灭。设置一个取零钱信号charge,一个零钱输出信号mout,mout接LED显示,灯亮则表示有零钱,按charge取零钱,灯灭。 主控模块中是一个状态机,当币值等于2.5元时,有邮票给出,不找零钱;当币值为3.0元时,有邮票给出,找零钱;其余情况下,既无票给出也不找零钱。

地铁自动售票系统

数字电路综合设计实验报告 ——地铁自动售票系统 一、设计课题的任务要求 基本要求: 1、地铁票价统一为每张两元,只能投入币值为五元的人民币进行购票。 2、能够开机自检,检验显示器件正常。 3、通过按键开关BTN输入购票张数和投入的人民币张数并恰当显示相应信息。 4、设置适当的声音提示或显示提示表示取票和找零。 5、一次购票成功后系统能够恰当地转入下一次购票状态。 6、系统能够复位。 提高要求: 将投币种类增加为1元、5元、10元三种。 二、系统设计 1.设计思路 地铁售票系统按要求需要有购票张数输入,投入1元,5元,10元张数输入,出票提示输出,找零输出,开机自检,复位和循环购票几项功能。由于BTN按键开关每次按下就会产生一个正脉冲,因此我决定对所需的4个按键开关进行防抖处理,检测按下次数,购票张数和投入1元,5元,10元张数分别对应ticket,RMB1,RMB5,RMB10.票数和投入的总的货币数分别用模三的向量和一个模四的向量进行累加,同时将其设为全局信号,利用数码管将其同步显示。 同时我将购票过程分为以下几个状态: (1)xianshi_state(开机自检状态,当检测到复位键后进入下一状态)(2)waiting_state(等待状态,当按下买票按键式开始买票) (3)select_state(选票状态,输入购票张数,确定进入下一状态,取消

返回等待状态) (4)insert_state(投币状态,投入钱币,点击购票键进入下一状态) (5)titcket_state(出票状态,显示输出的票数) (6)change_state(找零状态,显示购票后的余额) 因此设有一个主进程即为状态机转移进程,实现按键的检测和状态的转移,完成开机自检及循环购票的功能。 2.总体框图:

地铁自动售票系统(2)

课程设计题目地铁自动售票系统 学生姓名刘阳 学号0082694 学生姓名徐俊晔 学号0082671 学生姓名游斌 学号008837 学院信息管理学院 专业信息管理与信息系统 指导教师沈波老师 二O年月日

目录 1 绪论 (1) 2 JA V A简介 (1) 3 系统分析 (2) 3.1系统需求陈述 (2) 3.2系统功能需求分析 (2) 3.2.1 用例图 (2) 3.2.2 顺序图 (4) 3.2.3 类图 (4) 3.3系统数据需求分析 (6) 4 系统设计 (8) 4.1总体设计 (9) 4.2数据库设计 (10) 5 系统实现 (12) 5.1开发环境简介 (12) 5.2主要模块实现 (12) 5.3系统运行示例 (12) 结论 .................................................................................................................................................. 错误!未定义书签。参考文献: . (12) 致谢 (13) ABSTRACT: (14)

地铁自动售票管理系统 摘要:地铁自动售票系统实现了售票功能、调度功能、验票功能、财务结算、统计功能、维护功能、财务清分、留言功能、信息查询等功能。以每个车站电子票务系统为核心,通过互联网平台,可以实现多车站的联网售票、远程售票和数据共享。 关键词:java;地铁售票管理;MyEclipse 8.0 1 绪论 地下铁道,简称地铁,亦简称为地下铁,狭义上专指在地下运行为主的城市铁路系统或捷运系统;但广义上,由于许多此类的系统为了配合修筑的环境,可能也会有地面化的路段存在,因此通常涵盖了都会地区各种地下与地面上的高密度交通运输系统。绝大多数的城市轨道交通系统都是用来运载市内通勤的乘客,而在很多场合下城市轨道交通系统都会被当成城市交通的骨干。通常,城市轨道交通系统是许多都市用以解决交通堵塞问题的方法。随着中国城市的迅速发展,地铁承担着越来越重要的任务----缓解城市交通压力。 地铁自动售票系统实现了售票机与互联网的混合销售模式,集成了IC/临时卡读写模块,支持IC/临时卡介质类型的门票的发售。可以进行售票机查询以及网站自助查询等功能,缓解了地铁售票的压力,混合销售模式使购票更快捷,更明晰,为乘客与工作人员带来便捷。 2 java简介 Java是一种简单的,面象对象的,分布式的,解释的,键壮的安全的,结构的中立的,可移植的,性能很优异的多线程的,动态的语言。Java的开发环境有不同的版本,如sun公司的Java Developers Kit,简称 JDK。后来微软公司推出了支持Java规范的Microsoft Visual J++ Java开发环境,简称 VJ++。 Java语言有好多特点。①平台无关性。②安全性。③面向对象。④分布式。⑤键壮性。Java自己操纵内存减少了内存出错的可能性。Java还实现了真数组,避免了覆盖数据的可能。这些功能特征大大提高了开发Java应用程序的周期。Java提供:Null指针检测、数组边界检测、异常出口、Byte code校验。 Java设计系统时普遍采用的模式就是MVC设计模式,MVC(Model-View-Controller)应用程序结构被用来分析分布式应用程序的特征。这种抽象结构能有助于将应用程序分割成若干逻辑部件,使程序设计变得更加容易。在MVC结构中,模型(Model)代表应用程序的数据(data)和用于控制访问和修改这些数据的业务规则(business rule)。通常模型被用来作为对现实世界中一个处理过程的软件近似,当定义一个模型时,可以采用一般的简单的建模技术。当模型发生改变时,它会通知视(View),并且为视图提供查询模型相关状态的能力。同时,它也为控制器(Controller)提供访问封装在模型内部的应用程序功能的能力。一个视图(View)用来组织模型的内容。它从模型那里获得数据并指定这些数据如何表现。当模型变化时,视负责维持数据表现的一致性。视图同时将用户要求告知控制器(Controller)。控制器(Controller)定义了应用程序的行为;它负责对来自视的用户要求进行解释,并把这些要求映射成相应

地铁自动售票机简介

地铁自动售票机 一、设计背景和概述 目前,为了解决城市交通日益拥挤的难题,全国各大城市都开始地铁项目的建设。城市与城际轨道交通的建设也成为近年国家投资的重点项目。自动售检票系统就是用来解决轨道交通系统各个终端设备协同工作的平台,主要负责自动售票、自动检票、人工售票、结账与清算等工作,该系统由以下几块组成:中央计算机系统;车站计算机系统;自动售票机;自动检票机;自动加值机;人工售补票机;编码分拣机;自动查询机;紧急按钮及双电源设备以及其他辅助设备。 二、设计目的和功能 城市地铁本身就是对于拥挤的城市交通而缓解出现的,而且地铁站一般都设在地下比较狭小的空间,设立多个票务供应点是不合理,于是自动售票机的出现就成为了必然。既节约了空间,也节约了时间,操作相应的方便了许多,就算是老人和幼童一般也可以自己购买。 自动售票机是AFC 系统的重要组成部分,也是主要的终端设备之一,主要的功能就是实现无人自动售票。 1.自动售票系统可对单价为2元,3元,4元,5元四种票售票。 2.能够选择买1-2张 3.有1元,5元,10元,20元四个投币口。当投入的钱币与选择的票款相等时,送出车票;若投 入的钱币不够,1分钟后,退出钱币,无票送出;若投入的钱币超过票款,能自动找零。 三、设计仪器和设备 Microsoft Windows XP Embedded系统 Modlesim软件 QuartueII软件 四、系统方案 4.1 系统的硬件组成 该自动售票系统由以下主要模块组成:主控单元、乘客显示器与触摸屏、L E D状态显示器、票卡读卡器、硬币模块、纸币接收模块、单程票售票模块、凭条打印机、不间断电源。此外本系统还预留有银行卡购票功能。 自动售票系统主要业务如下:(1 )标准功能:现金(硬币、纸币)支付;单程票发售;硬币找零;语音提示;后台管理功能。(2 )可选功能:视频广告(无声);储值卡现金充值;银行卡购票、纸币找零。 4.2 系统的总体框架结构 地铁自动售票系统大致可以分为四个功能模块:车票选择模块、钱币处理模块、余额计算模块、显示功能模块。 4.2.1.系统总体框图如下

地铁自动售票机简介(doc 9页)

地铁自动售票机简介(doc 9页)

地铁自动售票机 一、设计背景和概述 目前,为了解决城市交通日益拥挤的难题,全国各大城市都开始地铁项目的建设。城市与城际轨道交通的建设也成为近年国家投资的重点项目。自动售检票系统就是用来解决轨道交通系统各个终端设备协同工作的平台,主要负责自动售票、自动检票、人工售票、结账与清算等工作,该系统由以下几块组成:中央计算机系统;车站计算机系统;自动售票机;自动检票机;自动加值机;人工售补票机;编码分拣机;自动查询机;紧急按钮及双电源设备以及其他辅助设备。 二、设计目的和功能 城市地铁本身就是对于拥挤的城市交通而缓解出现的,而且地铁站一般都设在地下比较狭小的空间,设立多个票务供应点是不合理,于是自动售票机的出现就成为了必然。既节约了空间,也节约了时间,操作相应的方便了许多,就算是老人和幼童一般也可以自己购买。 自动售票机是AFC 系统的重要组成部分,也是主要的终端设备之一,主要的功能就是实现无人自动售票。 1.自动售票系统可对单价为2元,3元,4元,5 元四种票售票。

2.能够选择买1-2张 3.有1元,5元,10元,20元四个投币口。当投入 的钱币与选择的票款相等时,送出车票;若投入 的钱币不够,1分钟后,退出钱币,无票送出;若 投入的钱币超过票款,能自动找零。 三、设计仪器和设备 Microsoft Windows XP Embedded系统 Modlesim软件 QuartueII软件 四、系统方案 4.1 系统的硬件组成 该自动售票系统由以下主要模块组成:主控单元、乘客显示器与触摸屏、L E D状态显示器、票卡读卡器、硬币模块、纸币接收模块、单程票售票模块、凭条打印机、不间断电源。此外本系统还预留有银行卡购票功能。自动售票系统主要业务如下:(1 )标准功能:现金(硬币、纸币)支付;单程票发售;硬币找零;语音提示;后台管理功能。(2 )可选功能:视频广告(无声);储值卡现金充值;银行卡购票、纸币找零。 4.2 系统的总体框架结构 地铁自动售票系统大致可以分为四个功能模块:车票选择模块、钱币处理模块、余额计算模块、显示功

51地铁自动售票检票系统施工工法

地铁自动售票检票系统施工工法 (TLEJGF-99·00-48) 中国铁路通信信号济南工程公司 一、前言 为了改善地铁的运营服务环境,近年来,国内引进了先进的地铁自动售票检票系统(AF C)。该系统的消化、吸收、安装、调试随即成为地铁施工领域内新课题。本工法就是针对广州地铁一号线的需要而研究开发的,并在广州地铁一号线、上海地铁一号线南段AFC系统安装工程成功地应用。 二、工法特点 1.运用车站间模拟运营测试程序,使系统测试更加完整。 2.使用专用安装工具,保证设备安装精度。 3.采用流水作业方式,可根据工程具体情况处理工序衔接,达到优质高效的目标。 三、适用范围 本工法适用于地铁自动售票检票系统和其他自动售票检票系统的安装和调试。 四、施工工艺 (一)工艺流程(见图1) (二)操作方法 1.施工准备 (1)了解并熟悉施工设计图和系统设备的结构、性能。 (2)调查前期土建、装修工程的实施情况。检查下述各项是否达到系统的设计要求:地下预埋钢管、分向盒、终端盒;站厅层、车控室、通信设备室、售票亭的装修工程;配电盘;RS422通信接口;设备安装地点的温度、湿度等。 (3)编制施工组织设计 (4)准备通用机具、仪表,并制作下述专用安装工具: 钻孔定位样板闸机、自动售票机、验票机需用200mm长的¢12mm螺栓固定在地面上。为了提高定位和钻孔的精度,按照各设备的底座结构图,制作专用的钻孔定位样板。样

板的钻孔定位部分应采用加强结构,使其能够经受冲击钻头的冲击和磨擦,延长使用寿命。 长柄中空式套筒扭力扳手闸机内部部件密集,且许多部件靠近底座,作业空间狭小,使用普通工具很难将底座螺栓加以紧固,特制的长柄中空式套筒扭力扳手能够很好地解决这个问题。该扳手直柄长1.2m,采用不锈钢管,上端焊接一个套筒卡头,以便安装扭力手柄,下端焊接一个20mm套筒头,打通该套筒头的底部,使螺栓能够深入到钢管内部。使用该扳手,可以轻松地从闸机上盖位置紧固底座螺栓。 (5)设备开箱、功能预测试 检查设备包装箱外观,根据装箱单,核对装箱标志和装箱号码。 对照装箱清单,清点设备数量,核对设备部件号和序列号,并做设备外观检查。附件、备件、说明书、出厂检验书等当即移交给建设单位项目工程师。 会同建设单位、供货商、驻地监理做好设备开箱、检查记录,并签字确认。 在仓库的测试区内进行设备功能预测试:运用系统软件,测试设备各部件工作是否正常,功能是否完整;定义各设备的通信信道;定义各设备的位置编码并做好标识。 (6)人员培训 结合设备功能预测试,熟悉设备,掌握调试程序。 培训配线人员,掌握RS-232电缆插头的制作方法和测试手段。 培训安装人员,掌握设备的安装要求、安装方法和注意事项。 2.敷设缆线 清理地下预埋线管,保证管内无水、无杂物,线管接地良好。 对整盘电缆进行绝缘测试,然后实测电缆长度,预配电缆。敷设电缆时,应做好防护和标识。电缆敷设后,根据技术要求,进行电缆测试,做好测试记录。 会同建设单位、供货商、驻地监理进行隐蔽工程检查,做好检查记录。 3.设备安装 使用钻孔定位样板进行钻孔,安装螺栓。根据车站的具体情况,抽测螺栓强度。 将设备运输到安装现场。设备装运时,要注意天气变化,做好设备的防护。 安装设备时,要轻搬轻放,其水平偏差、垂直偏差应符合技术要求。配线时,应遵照设备内部配线图及设备连接图的规定。 进行防水处理。用防水胶密封终端盒、分向盒、出线管孔、设备底座。 设备安装完毕,会同建设单位、供货商、驻地监理进行检查验收,做好检查记录。 4.车站(中央)系统调试 (1)设备单机测试 按照程序对车站系统中的车站计算机、自动售票机、半自动售票机、进/出闸机、验票机和中央系统中的服务器、工作站、编码/分拣机的功能一一进行测试,并在测试数据表中记录相应的结果及结论。 (2)车站(中央)系统内部联调 车站(中央)系统内部联调的前提条件是:所有车站(中央)设备均已完成单机测试,存在问题均已处理完毕,单机工作状态良好;设备间通信正常,传输速率及误码率符合设计要求。 从车站计算机上下达控制命令,控制车站设备的状态,并从各车站设备获取各种运营数据,以确认车站计算机与各车站设备的通信是否快速、有效。 根据中央系统的功能设计,确认服务器、工作站、编码/分拣机之间的命令或数据传输是否准确,并检查相关报表数据是否与实际发生的数据相符。 (3)提交完整的测试报告,对存在问题进行处理,直至所有设备功能都能达到设计书的要求为止。 5.全线联调

地铁自动售票机(基于FPGA)设计

地铁自动售票机(基于FPGA) 西安电子科技大学 08级集成电路设计与集成系统专业 井站14082094 尹俊镖14082108 潘志友14082068 李波14082117 一功能需求 1 市场目标 本工程设计是基于FPGA设计一个地铁自动售票系统。近年来,集成电路技术的迅猛发展,特别是可编程逻辑器件的高速发展,电子设计自动化EDA技术成为电子设计工程师的新宠。然而随着城市人口的迅速增加、车辆的增多,世界各地的城市交通变得愈发拥挤,地铁成为城市交通的重要组成部分。随着国民经济的发展,我国的地铁建设也日益成为人们日常生活中不可或缺的一部分。作为地铁运营服务的第一道关,改善地铁已逐渐落后于时代步伐的售票系统势在必行。本系统是结合行业管理中实际的地铁售票系统运行过程中的需求过程,经过实际的需求分析,开发出来的地铁自动

售票系统。整个系统的设计从符合操作简便快捷、灵活实用、保证稳定安全的要求出发,完成售票管理的基本过程。 2 功能定义 通过本设计,正确掌握数字系统的模块划分、并能自如应用硬件描述语言描述各模块功能,以实现系统设计。以Verilog语言和Quartue II,Modlesim为工具,实现了地铁自动售票系统。系统划分为几个功能模块,分模块进行分析和设计,系统给出相应的设计原理图和Verilog源程序,通过仿真实现预定的功能。 本组成员参与设计的自动售票机,就其功能特点来说,可以归纳如下: 1)该自动售票系统可对单价为3元、4元、5元,6元四种票售票。 2)能选择买1张或2张票; 3)有1元,5元、10元,20元四个投币口。当投入的钱币与选择的票款相等时,送出车票;若投入的钱币不够,1分钟后,退出钱币,无票送出;若投入的钱币超过票款,能自动找赎。4)系统显示选择所选票单价面额、购买的票数、投入的钱币额及找赎的钱额。 3 应用案例

简易地铁自动售票系统

数字电路与逻辑设计实验 实验报告 题目:简易地铁自动售票系统 学院:信息与通信工程学院 专业:电子信息工程

一、设计课题的任务要求 基本要求: a)地铁票价统一为每张两元,只能投入币值为五元的人民币进行购票。 b)能够开机自检,检验显示器件正常。 c)通过按键开关BTN输入购票张数和投入的人民币张数并恰当显示相应信息。 d)设置适当的声音提示或显示提示表示取票和找零。 e)一次购票成功后系统能够恰当地转入下一次购票等待状态。 f)系统能够复位。 提高要求: 将投币种类增加为1元、5元和10元三种。 二、系统设计 本次实验中采用的是自自顶向下的设计方法,即从整个系统功能出发,按一定原则将系统划分为若干子系统,再将每个子系统分为若干功能模块,再将每个模块分成若干较小的模块……直至分成许多基本模块实现。 1.外部呈现结构 CAT5,CAT4:用数码管显示购票张数 CAT3,CAT2:显示总共输入的钱数 BTN7:开始购票按键 BTN6:输入购票张数确定按键 BTN5:输入钱数确定按键/确定购买按键 BTN4:复位键/取消键 BTN3:通过按键按下次数输入购票张数 BTN2:每按下1次,输入1张1元纸币

BTN1:每按下1次,输入1张5元纸币 BTN0:每按下1次,输入1张10元纸币 LED7-0:通过8个灯不同的亮灭显示不同的状态 全亮:开机自检及复位状态 LED7亮:输入购票张数状态 LED6亮:输入总钱数状态 LED5,2亮:显示购票成功 亮灭亮灭亮灭亮灭:显示找零状态 2、模块划分及功能 1、计算模块:计算用户投币的总金额,以及用户的余额。 2、显示模块:将计算的结果及用户操作信号译码后显示在数码管或LED灯上。 3、输入模块:通过按键,开关输入信息 4、信号模块:系统对系统时钟,用户的买、选择、完成信号,显示开关信号等信号的设置。 3、自动售货机系统总体框图 4、流程图

城市轨道交通自动售检票(AFC)系统方案

城市轨道交通自动售检票(AFC)系统方案 1、方案概述 轨道交通自动售检票AFC系统由中央计算机系统(CC)、车站计算机系统(SC)、自动售票机(ATVM)、半自动售票机(S-ATVM)、进/出站检票机(EnG/ExG)(包括三杆式、门式检票机、半自动补票机(BOM)、增值机(AVM)、验票机(TCM)以及查票机(PCA)、编码机(ES)、光传输网以太网、车站局域网(LAN)等设备组成。 中央计算机系统 中央计算机系统由两台冗余配置的服务器、磁盘阵列、磁带机、工作站(系统管理工作站、数据管理工作站、网络通信管理工作站、参数下载工作站、票卡管理工作站、设备监控工作站、报表查询工作站、中央及远程维修工作站、10/100M交换式HUB等局域网设备、打印机、不间断电源及编码机等组成。中央计算机系统的容量,64个本线车站,512个换乘车站。能处理全日客流量500万人次。中央计算机系统是自动售检票系统的管理控制中心。中央计算机系统与各车站计算机系统进行通信;可收集全线的交易数据和设备运营状态信息,进行财务和客流统计;中央计算机系统能传送相关的参数、信息至各有关终端设备。中央计算机系统能将需要清分的信息上传给清分系统,接收清分系统下传的清分数据、黑名单、费率等数据。实现系统数据的集中采集、统计及管理、实现系统运作、收益及设备维护集中管理、实现对本线自动售检票系统内所有设备的监控。中央计算机可通过网络对下级设备的软件更新。中央计算机系统可通过通信系统的时钟子系统获取标准时间,自动进行同步,并将标准时间信息将下传至车站计算机和各终端设备。中央计算机系统有备份和恢复功能及灾难恢复功能。 车站计算机系统 车站计算机系统主要由车站计算机、系统操作工作站、10/100M交换式HUB、紧急报警按钮、打印机、UPS等组成。车站计算机系统能处理全日客流量30万人次。车站计算机系统可监控车站终端设备的运行状态、设备控制、客流监控、下达系统运营模式、系统参数。车站计算机系统有车站收益管理、设备维护管理、数据管理、电源管理等功能。 车站设备 车站设备由自动售票机、半自动售票机、检票机、增值机、验票机、查票机组成。自动售票机发售单程车票或其它类型车票。自动售票机可接受硬币、纸币、储值票付费等方式,具有可一次性出售多张车票。自动售票机有硬币及纸币找零的功能。自动售票机的外形、触摸屏、乘客显示器、运营状态显示器、投币及出票口布置和位置满足人体工程学的要求,方便乘客操作。售票速度,使用单个硬币<3秒/张,使用单张纸币<4秒/张。双票盒,增加了储票容量,也可售两种不同类型的车票。平均故障间隔次数(MCBF)≥300000次。 半自动售票机由车站工作人员操作。可发售各种类型的车票,同时兼有补票、对储值票充值、对车票进行查验和票据打印的功能。半自动售票机具有收益管理功能。售票速度<0.8秒/张。平均故障间隔次数(MCBF)≥500000次。 检票机有外部感应方式和内部感应方式,进站检票机采用外部感应方式,出站检票机采用内、外部感应方式。检票机有足够的传感器对乘客的通行行为进行监控。能区分大人、小孩、手持行李与手推行李车,并能检测乘客在通道的移动情况,检查到任何非法进入可发出报警声及闪烁提示灯。当

地铁系统自动售票机的售票方式及技术研究

地铁系统自动售票机的售票方式及技术研究作者:只宇鹏 来源:《企业文化·下旬刊》2017年第05期 摘要:在地铁系统的运行过程中,大多采用自动售票机的售票方式,在目前的售票方式下,我们要应用更好的售票技术,才能够提高地铁系统自动售票的效率。 关键词:地铁系统;自动售票机;售票方式;技术 一、国内地铁系统自动售票机的售票方式 目前国内地铁站中的自动售票机已具备基本的购票功能和充值功能,此外,自动售票机还预留了金融卡充值功能。国内地铁的计费标准普遍按里程限时分段计价。 北京地铁有单程票和储值票两种,单程票采用ultralight薄卡IC卡,在自动售票机上可用5元、10元面值纸币或1元硬币购买并具有硬币找零功能;储值票采用市政交通一卡通IC 卡,充值可使用20元、50元、100元面值的纸币在自动售票机上完成,但充值时不具备找零功能。 武汉地铁集团发行的地铁票有单程票、储值票、纪念票3种,单程票在自动售票机上购买,一次最多可购买6张;储值卡的充值可使用50元以上面值的纸币在自动售票机上完成;纪念票则可从指定车站客服中心购买。上海地铁票种多样,分为单程票、一日票、三日票、储值卡、纪念票等,站内的自动售票機可购买单程票、一日票、三日票和价值50元的储值卡;乘车还可以使用上海公共交通卡、手机卡、磁浮地铁一票通,此外还可使用敬老服务卡和免费乘车凭证进站;储值卡的充值可使用50元、100元面值的纸币在自动售票机上完成;另外,近年来上海地铁还成功开发了手机支付的应用,但它要求乘客必须持有具备NFC功能的中国移动定制手机且开通“上海地铁功能”才能使用。 天津地铁有单程票、储值卡(城市交通卡和地铁特惠票)、一日票、三日票、纪念票,目前自动售票机只出售单程票;储值卡、日票、纪念票由人工发售和人工充值,持储值卡乘地铁有一定比例优惠。 这种单一的计费标准和售票方式不能很好地满足所有乘客的需求,也不能有效改善交通高峰时段乘客流的拥挤,即使纸币支付也存在纸币识别问题。部分城市地铁(如北京)对一元纸币不能进行识别,即使对可识别面额的纸币,当识别出不符合指标(假币、残缺、过于破旧)时也只是将其退还给乘客而不做出相应的警告处理,使乘客不明所以;另外,由于目前国内地铁系统中的自动售票机在购票或者储值卡充值时只能使用现金,还未能使用银行卡、信用卡等

地铁自动售票系统VHDL

通信线路EDA课程项目 --关于VHDL语言模拟地铁售票控制系统设计 项目组负责人:胡弘正通信0903(200985250321) 项目组成员:刘上校通信0903(200985250317) 邹娟通信0901(200985250103) 完成日期:遥遥无期

一、设计要求: 功能描述:用于模仿地铁售票的自动售票,完成地铁售票的核心控制功能。 功能要求:售票机有两个进币孔,一个是输入硬币,一个是输入纸币,硬币的识别范围是5 角和1 元的硬币,纸币的识别范围是1 元、2 元,5 元,10 元。乘客可以连续多次投入钱币。 乘客可以选择的种类为2 元和4 元,乘客一次只能选择一个出站口。购买车票时,乘客先选 择出站名,然后选择所需的票数,再进行投币,投入的钱币达到所需金额时,售票机自动出 票,并找出余额,本次交易结束,等待下一次的交易。在投币期间,乘客可以按取消键取消 本次操作,钱币自动退出。 功能描述:用于模仿地铁售票的自动售票,完成地铁售票的核心控制功能。 功能要求:售票机有两个进币孔,一个是输入硬币,一个是输入纸币,硬币的识别范围是5 角和1 元的硬币,纸币的识别范围是1 元、2 元,5 元,10 元。乘客可以连续多次投入钱币。 乘客可以选择的种类为2 元和4 元,乘客一次只能选择一个出站口。购

买车票时,乘客先选 择出站名,然后选择所需的票数,再进行投币,投入的钱币达到所需金额时,售票机自动出 票,并找出余额,本次交易结束,等待下一次的交易。在投币期间,乘客可以按取消键取消 本次操作,钱币自动退出。 二.课题分析 1.买车票时,乘客按“开始选择”按钮,接着选择出站口接着选择购票数量(根据提示,一次购票数限制在3张以内);然后按“开始投币”按钮,投入钱币;当投入的钱币达到所需金额时,售票机自动出票,并找出余额。考虑到控制整个售票机的物理体积,余额将全部采用5角硬币的形式找出。 2.选择出站口或投币过程中,乘客都可以按“取消”按钮取消该次交易。选择出站口的过程中,若按“取消”,则售票机直接回到初始状态;投币过程中,若按“取消”,则售票机将乘客已经投入的钱币全部退出,再回到初始状态。 3.客一次只能选择一个出站口,根据乘坐的站数确定票价。假设乘客购票所在站到终点站共有15站。若乘坐1-8站,则票价为

C(地铁自动售票机)

《地铁站售票机售票过程的模拟》 1.本题内容为:用C语言编写一个模拟广州市地铁一号线某车站自动售票机售单程票的过程的程序。 2.要求按“选到达站”→“投币“→“出票”的过程准确无误地完成一次购票操作。 选到达站:用显示菜单方式显示各车站名,然后按相应的车站编号选择; 投币:按到达站的票价,可用按下一次空格键代表投入一枚一元硬币。(不要直接按数字键); 出票:用给出文字信息表示出票操作(包括退还多投的硬币信息)。 3.要求能对乘客购票步骤给予提示,4.对错误操作给予提醒。(如在规定的时间快到时未能投入足够的币额则显示催促信息,而5.规定的时间到后未能投入足够的币额者则取消本次购票操作并退还已投款项)。 6.操作简便、可靠性好(7.不能遇误操作就出现退出运行程序或死机)。 可以不用链表吗?? 可以给你做个参考,有什么问题可以邮cloud_leonhart@https://www.wendangku.net/doc/552260771.html, #include "graphics.h" #include "stdio.h" #include "conio.h" #include "bios.h" #include "dos.h" #define ENTER 7181 #define SPACE 14624 #define UP 18432 #define DOWN 20480 #define LEFT 19200 #define RIGHT 19712 #define ESC 283 int pos=0, value=1,val=1, num=0; main() { initgraphics(); initmenu(0); clrwnd(180,280,500,340); helpwnd(180,280,500,340, "","PRESS ANY KEY TO START...",""); getche(); getmenu(1); getch(); closegraph(); } initgraphics() { int gmode, gdriver=DETECT;

地铁自动售检票系统方案设计

培训总结 交通工程学院郭江静 随着我国国民经济的飞速发展和人民生活水平的不断提高,人们的出行也更为频繁,城市轨道交通作为一种重要而且方便的出行方式为越来越多的人们接受和选择。城市轨道交通同样也面临着增加运能、提高服务质量、提高竞争能力的迫切需求。自动售检票系统作为轨道交通系统中时刻与出行人群交流的一项重要工具,也面临这巨大的挑战,而自动售票机是自动售检票系统终端设备中内部部件最多且各部件之间关联最为复杂的设备。自动售票机实现了旅客购票自助化,大大降低了由人工售票带来的人力消耗,避免了人工操作引起的不必要的错误。 我国城市轨道交通车站的自动售检票设备,最初是来自外国,近年来我国已进行了大量的开发研制工作,提出了多种形式的产品,技术水平也在不断提高。国内轨道交通AFC系统的发展经历了从无到有的过程。自动售检票系统(AFC)是基于计算机、通信、网络和自动控制等技术,实现轨道交通售票、检票、计费、收费、统计、清分和管理等全过程的自动化系统。由于AFC系统需要承载所有轨道交通的运营财务数据,并与城市公交卡、银行系统等多个系统互联,因此,AFC 系统也成为轨道交通中的准财务系统,与运营收入息息相关,是轨道交通收益的主要来源 随着城市人口的不断上涨,轨道运输将成为大、中城市最方便快捷的交通手段,国内许多城市已经完成了地铁/轻轨的蓝图规划,为了适应其发展要求,如何利用先进的电子化技术创造一个安全、方便、快捷的收费环境已成为当前的迫切需要。为此我们提供了一个采用非接触式IC卡作为储值卡支付手段,以计算机系统为信息处理方法并结合先进的电子通信、网络等技术设计的现代化信息管理系统,使地铁/轻轨售检票工作实现全过程的电子化、自动化、网络化综合管理。一方面,它可以大量减少地铁/轻轨票务管理人员、提高地铁/轻轨系统的运行效率和效益、使乘车收费更趋合理、减少逃票情况的发生、减少现金流通、堵塞人工售/检票过程中的各种漏洞和弊端、避免售票“找零”的繁琐、方便乘客、提高收费速度、增加客流分析预测的能力、合理地调配车辆,提高了运营公司的

相关文档
相关文档 最新文档