文档库 最新最全的文档下载
当前位置:文档库 › 半导体设备関连用语集

半导体设备関连用语集

半导体设备関连用语集
半导体设备関连用语集

半導体設備関連用語集

富士通電デバ中国プロジェクト

華越微電子公司八.五工程

2005年1月8日

1.設備名称対照表

NO 設備名(日文)設備名(中文)

1 CV測定器CV测量仪

2 EPI装置外延炉

3 HMDS塗布(真空ペーパー)HMDS涂机(真空夹层)

4 II装置离子注入装置

5 INK乾燥炉INK干燥炉

6 MASK洗浄ドラフト掩膜清洗槽

7 MDX電源MDX电源

8 N2ボックス氮气柜

9 RF電源高频电源

10 SOGコータSOG涂机

11 WAFER移替機园片装卸传送装置

12 aステップ铝膜厚测量仪

13 u波電源U波电源

14 アッシャー灰化装置

15 アニール炉退火炉

16 アライナー光刻机

17 オープンストッカー干燥炉

18 カープトレーサー示波器

19 カップ洗浄ドラフト容器清洗槽

20 キャリア洗浄機局部清洗机

21 グラインダー研磨机

22 クリ-ンブース洁净罩

23 クリンベンテ洁净台

24 グローブボックス手套盒

25 コンベクションオーブン对流式干燥箱

26 サーマルチャンバー恒温箱

27 スクラバー洗气器

28 スパッタ装置溅射装置

29 スピンエッチャー旋转式刻蚀装置

30 スピンドライヤー旋转式干燥机

31 テープ貼り機胶带粘贴装置

32 テープ剥し機胶带剥离装置

33 テスター测试装置

34 ドライエッチャー干式刻蚀装置

35 ドラフト清洗槽

NO 設備名(日文)設備名(中文)

36 ナノスペック纳米级测量仪器

37 ナノライン纳米级测量仪器

微粒测量仪

38 パーティクル測定器(WM-

2)

39 プラズマCVD装置等离子CVD装置

40 プローバー探针测量仪

41 ヘルパーコーター高效过滤器

42 ポリミド塗布Polymide树脂涂机

43 ポンプ泵

44 ポンプ(2芯)泵

45 ポンプ(CHB)泵

46 ポンプ(E2M40)泵

47 ポンプ(L/L)泵

48 ポンプ(MB)泵

49 ポンプ(ドライスター)泵

50 ポンプ(水封)水封泵

51 ボンベボックス气瓶柜

52 マスクストッカー掩膜保管箱

53 リモートサポート工具箱

54 レーザーマーカー激光标号设备

55 レジスト現像光刻胶显影装置

56 レジスト除去除胶装置

57 レジスト塗布涂胶机

58 液体ホーニング液体研磨

59 拡散炉扩散炉

60 観察SEM观察用电子显微镜

61 金属顕微鏡金属显微镜

62 検査装置检查装置

63 検査装置(CHIVI)检查装置

64 検査装置(OST)检查装置

65 検査装置(顕微鏡)检查装置(显微镜)

66 減圧CVD装置减压CVD装置

67 後処理ドラフト后处理槽

68 後処理装置后处理装置

69 自動測定装置自动测定装置

70 実体顕微鏡实体显微镜

NO 設備名(日文)設備名(中文)英文

71 処理ドラフト处理槽

72 常圧CVD装置常压CVD装置

73 蒸着装置蒸镀装置

74 真空ベーク炉真空烘干机

75 洗浄ドラフト(CVD)清洗槽

76 前処理システム前处理系统

77 排ガス処理装置排气处理装置

78 比抵抗測定器电阻率测量仪

79 不純物コータ(PBF)涂PBF杂质层装置

80 不純物コータ(SBSG)涂SBG杂质层装置

81 幅測定器(ナノライン)纳米级宽度测量仪

82 膜厚測定器膜厚测量仪

83 膜厚測定器(M-ゲージ)膜厚测量仪

84 膜厚測定器(ナノスペック)膜厚测量仪(纳米级)

85 陽極酸化装置阳极氧化装置

86 冷蔵庫冷藏柜

87 炉心管保管棚(CVD)炉芯管保管架

2.外来語(英語)用語(あいうえお)

NO カタカナ(外来語)中文英文

1 アーク弧形

2 アークモニター弧形监控口

3 アース接地,地线

4 アーマ防具,防身服

5 アーム操作臂

6 アームストッパー操作臂止动器

7 アームストップ操作臂止动

8 アームパッド杆,臂,缓冲器

9 アームユニット臂杆组合件

10 アイソレーション分离,隔离,孤立,绝缘

11 アイドリングタイム空载,无故,(设备)闲置时

12 アイドル无用的,空闲的

13 アウター外部的,表面的

14 アウト断开

15 アウトプット输出(功率,效率)

16 アクション行动,运算

17 アクセス操作,存取

18 アクセスコード存取码

19 アクチェーター制动器,传动装置

20 アクリル丙烯酰基

21 アジャスタ调整器,调节器

22 アジャスト核对,调整,调节

23 アジャストボルト调整栓,校正棒

24 アスピレーター抽吸口,吸气口

25 アダプタ接合器,搭配器

26 アッシング灰化

27 アッセンブリ部件,装置

28 アッパー向上的

29 アッパーリミット上限

30 アップ向上,举起

31 アドソーバー吸附

32 アドレス地址

33 アナロック锁定

34 アノード阳极

NO カタカナ(外来語)中文英文

35 アパーチャー光圈

36 アフターサービス售后服务

37 アラーム报警

38 アラームキャンセルスイッチ报警取消装置

39 アラームレベル水准

40 アライメントスコープ调整用示波器,调整范围

41 アルコール酒精

42 アルファベット字母表

43 アルマイト耐酸器

44 アルミ铝

45 アルメル耐高温镍铝,锰合金

46 アンクランプ松开

47 アンチスタティック抗静电的

48 アンプ放大器

49 アンローダー卸片机,卸料机

50 アンローダーアーム卸料机操作臂

51 アンローダーカセット卸片盒

52 アンローダーユニット卸料机组合件

53 アンローディング卸料,卸载

54 アンロード卸载,去荷

55 イエローランプ黄灯

56 イオン离子

57 イオンゲージ电离压力器

58 イコール相等

59 イニシャル起始

60 イニシャルセットスイッチ启动开关

61 イメージガイド象导管

62 イン在

63 インコネルトレー因科镍合金托盘

64 インジケーター指示器,显示器

65 インスタレーション安装

66 インスタントフィードボタン瞬间进给按扭

67 インターバル空隙,区间,周期

68 インターロック连锁,联动,同步

NO カタカナ(外来語)中文

69 インダクションモーター感应

70 インダクタンス电感,感应系数

71 インタラプト中断,阻断

72 インディクス刻度,标注

73 インデックス目录

74 インバーター反相器,变换器

75 インピーダンス阻抗,电抗

76 インヒビット禁止,阻止,制止

77 インプット输入

78 インペラ叶轮

79 インライン串联

80 インレット入口,引入线,输入

81 ウェーブワッシャー波浪冲洗

82 ウェット湿的

83 ウェハー晶片

84 ウェハーガイド硅片导件

85 ウェハーサイズ硅片尺寸

86 ウェハーステージ硅片台

87 ウェハーセンシング硅片传感

88 ウェハーチャッキング硅片夹具

89 ウェハーチャックテーブル硅片栓舟台

90 ウェハーディスク硅片园盘

91 ウェハーハンドリング晶片处理

92 ウォームアップ加热,预热,预备

93 ウォームギャ蜗轮,蜗杆装置

94 ウォームホイール蜗轮

95 ウォームホイールギャ蜗轮,齿轮

96 エアー大气

97 エアーコック小龙头,活嘴,阀门

98 エアージェットノズル喷气嘴

99 エアーシリンダー气瓶

100 エアーセンサー空气传感器

101 エアーナイフ空气切割

102 エアーバルブ气阀

103 エアーフィルター空气过滤器

NO カタカナ(外来語)中文 104 エアーベアリング空气轴承

105 エアーポンプ抽气机,气泵

106 エアスイッチ空气开关

107 エアブロ-- 空吹

108 エキゾースト排气,抽气

109 エスケイプスイッチEsc键

110 エッチサイクル刻蚀循环

111 エッチング刻蚀

112 エポネックスグリス标签

113 エマージェンシー事故,危险

114 エマージェンシースイッチ应急开关

115 エミッション放射

116 エラー错误,误差

117 エリア面积,领域

118 エレクトロニクス电子

119 エレベーター电梯,升降机

120 エレベータ--ユニット升降部分

121 エレベーティングマニアル升级操作手册

122 エレメント元素,元件,要素 123 エンコーダー编码器

124 エンジニア工程师

125 エンド终端,边缘,结束 126 エントリー入口,输入

127 エンドロラー边侧滚筒

128 オイル油

129 オイルカップ油杯

130 オイルシール油封

131 オイルストーン油磨石

132 オイルダンパー油挡板

133 オイルポンプ油泵

134 オイルミストエリミネーター油雾消除器

135 オイルリターン回油

136 オート自动

137 オートアライメント自动对位

138 オートチューニング自动调谐

NO カタカナ(外来語)中文

139 オートドレイン自动排泄

140 オートハンド自动车

141 オートフィダー自动进料

142 オートフォーカス自动对焦

143 オートマチック自动匹配

144 オーバー过超

145 オーバーエッチ过腐蚀

146 オーバーカレント过电流

147 オーバートップ超高速

148 オーバープライム超优

149 オーバーホール翻新

150 オーバーラン过运行

151 オーバーロード过载

152 オーブニング开口,孔

153 オープン开启

154 オープンカバー开式

155 オーブンプレート炉板

156 オーブンユニット烘炉装置

157 オープンループ开环

158 オーリング圆型密封圈

159 オールクリア全清零

160 オシコスコープ示波器

161 オーバースピード过速

162 オフ关

163 オプション选择,选件

164 オペアンプ运算放大器

165 オペレーション工作,操作

166 オペレーター操作者

167 オリエンテーションフラット基准面

168 オルタネーター交流发电器,振荡器 169 オルタレーション交换,转换

170 オン开

171 オン.オフ开关,启-闭

172 カーソル游标,光标显示器 173 カーソルバー滑竿

NO カタカナ(外来語)中文 174 カーテン幕,帘,屏,隔板 175 カード防护物

176 ガイド导向器

177 カウンター计数器,计量器 178 カウンターウエイト砝码

179 カウント计算,读数

180 ガス气体

181 カスケード串联

182 ガスケット垫圈

183 ガスチャンネル气体通道

184 カセット片盒

185 カセットエレベーティングユニット片盒升机钩

186 カセットテープレコーダー盒式录音机

187 カット切断

188 カップ杯子,罩

189 カップユニット罩子部分

190 カップリング偶合,联接

191 カテゴリー种类

192 カバー罩,盖

193 ガム橡胶,树胶

194 カラー颜色

195 ガラス玻璃

196 ガルバノメーター电流计

197 ガン电子枪

298 ガンツリー高架起重机

299 ガントリー高架起重机

200 キー键

201 キーイン键入

202 キーコマンド键命令

203 キット工具箱

204 キーボード键盘

205 ギヤー齿轮传动装置

206 キャスター小脚轮

207 キャッチ捕捉,制动装置 208 ギャップ间隙,距离

NO カタカナ(外来語)中文 209 キャパシタンス电容(量)

210 キャビネット箱,柜,室

211 キャプタイヤケーブル绝缘电缆

212 ギヤヘッド齿轮头

213 キャリア片盒,载流子

214 キャリアステーション片盒台

215 キャリッジ支架,平台

216 キャリブレーション校准

217 キャリヤボックス移片箱

218 キャンセル取消

219 ギロチン截断机

220 クイック快的

221 クーラント冷却水

222 クーラントタンク冷却水箱

223 クーラントポンプ冷却水泵

224 クーリングプレート冷却板

225 クライオポンプ低温泵,冷凝泵 226 クライオラフバルブ冷凝粗调阀

227 グラファイト石墨

228 クランプ(夹具)抱箍

229 クランプメーター电流表

230 クリア清除

231 クリアスイッチ清除开关

232 クリアランス清除间隙距离

233 クリーニング清洁(洗)

234 クリーニングペーパー洁净纸

235 クリーム膏

236 クリーンエアー净化空气

237 クリーンルーム净化厂房

238 グリス润滑油脂

239 グリッド格栅

240 グループ组

241 クレード等级

242 グロー灼热,发光

243 クロージング关

NO カタカナ(外来語)中文 244 クローズ条款

245 クロック时钟

246 クロメル克罗梅尔镍铬合金 247 ゲイン增益

248 ゲージ刻度计

249 ゲート门

250 ゲートバルブ滑门阀,闸阀

251 ケーブル电缆线

252 ケルビン绝对温度

253 コイル线卷

254 コータ涂敷器

255 コーティング涂敷

256 コード电线

257 コーナー角,隅,棱

258 コール通话

259 コールドトラップ冷槽

260 コールドヘッド冷凝头

261 コッキング焦化

262 コネクタ连接器

263 コピー复制

264 コマンド命令

265 ゴムパッド橡皮垫衬

266 ゴムローラー橡皮轮

267 コモン普通的,共同的 268 コラム柱,塔,纵列

269 コレクション收集

270 コレクタ集电级

271 コロナ电晕

272 コンスタント恒定的

273 コンセント万能插座

274 コンダクタンスバルブ电导阀

275 コンタクト接触

276 コンデンサーレンズ聚光透镜

277 コントローラー控制器

278 コントロール控制

NO カタカナ(外来語)中文 279 コントロールパネル控制板

280 コンバーター转换器

281 コンバム吸气器

282 コンピューター计算机

283 コンプレッサー压缩机

284 サーキットブレーカー断路器

285 サージ波动

286 サーチ搜索,探测

287 サービス工作,维修

288 サービスコンセント服务概念

289 サービスプログラム服务程序

290 サーボ伺服

291 サーボコントローラー伺服控制器

292 サーボモーター伺服电动机

293 サーマル热的

294 サーマルプリンタ热打印机

295 サーマルリレー热动继电器

296 サーモカップル热电偶

297 サーモスタット恒温器

398 サーモセンサー热传感器

399 サイクル周期,循环

300 サイクルタイム循环时间

301 サイクルパージ循环吹洗

302 サイド边,侧

303 サイリスタ可控硅

304 サジタル矢状的

305 サックバック包,袋

306 サドル鞍座,滑动座架 307 サブ副,次

308 サブパネル副控制板

309 サプライ供应

310 サポート支撑

311 サポートディスク支持空间

312 サングラス太阳镜

313 サンド砂

NO カタカナ(外来語)中文 314 サンプリング抽样

315 シーケンス程序

316 シート板,纸

317 シール密封

318 シェイク摇,抖,晃

319 ジェットノズル喷嘴

320 ジェネレータ发生器,发动机 321 シグナライザー信号分析器

322 シグナル信号

323 システム系统

324 シフト倒班,变换

325 シフトモード方式

326 ジャー缸

327 ジャック插孔

328 シャ―プ尖锐的

329 ジャケット套,管,外壳

330 シャッター快门

331 シャフト旋转轴

332 シャワー风淋

333 ジャンクション连接

334 シュート发射,摄影

335 ジョイステック操纵杆

336 ジョイント连接,接头

337 ショート短的,缺少的

338 シリコン硅

339 シリンダー气瓶

340 シングルロードロック通道锁定

341 シンクロナスモータ同步马达

342 スイッチ开关

343 スイッチング转换,开关

344 スイッチングレギュレーター开关调节器

345 スカベンジャー清除

346 スキップ遗漏

347 スキャニング扫描

348 スキャン扫描

NO カタカナ(外来語)中文 349 スクラバー废气水洗处理器 350 スクラビングブラシ清洗刷

351 スクリュー螺钉

352 スクリューシャフト螺旋轴

353 スクロール卷轴

354 スケール刻度盘

355 スターター起动器

356 スタート开始

357 スタッフ平衡杆,标尺

358 スタンダード标准

359 スタンドベース台,支架

360 スタンバイ备用

361 ステージ裁体,台

362 ステータス情况

363 ステータスメッセージ状况,信息

364 ステップ步

365 ステンレス不锈的

366 ストアー储存

367 ストッカー储料器

368 ストッパー制动器

369 ストップ停止

370 ストップバルブ断流阀

371 ストレーナー滤虑器,滤网

372 ストレッジオシロ存储示波器

373 ストローク冲程

374 ストロング强有力的

375 スナッバー缓冲器

376 スナップ快的,快拍

377 スナップスイッチ瞬动(弹簧)开关 378 スナップソケット弹簧插座

379 スパッタモード溅射方式

380 スパナ扳手

381 スパン间距

382 スピード速度

383 スピードコントローラー速度控制器

NO カタカナ(外来語)中文 384 スピン旋转

385 スピンドル主轴

轴逆转装置

386 スピンドルインバーターユニ

ット

387 スピンドルシャフト旋转轴

388 スピンドルセレクト旋转选择

389 スピンナーテーブル旋转台

390 スピンナーユニット旋转装置

391 スプール卷筒,线轴

392 スプリング弹簧

393 スプリングダンパー弹簧挡板

394 スプレー喷雾,喷射

395 スプロケット链轮

396 スペアー备件

397 スペース空间

498 スペック规格

499 スポット点,地点

400 スポンジパット海绵垫

401 スムース平稳的,顺利的 402 スムーズ平稳的,顺利的 403 スライスレベル限制电平

404 スライダー滑动器,游标

405 スライディングマウント活动安装

406 スライド滑动,滑座

407 スライドアーム滑动臂

408 スリーフェーズ三相的

409 スリット狭缝,缝隙

410 スレーブ伺服,从动

411 スローパージ缓吹洗

412 スローバキューム低真空

413 スロット槽,缝

414 スロットバルブ槽阀

415 スロットル节流阀,风门

416 セーブ节约,保留

417 セクタ扇区,段

418 セグメント段,片,部分

NO カタカナ(外来語)中文 419 セッティング设定,调节

420 セット设定,调节

421 セットアップ设置

422 セットスクリュウ定位螺钉

423 セットポイント设定点(值)

424 セラミック陶瓷

425 セルフグラインダー自研磨刀

426 セルフグラインド自研磨

427 セレクション选择

428 セレクト选择

429 ゼロ零

430 セロハンテープ透明胶带

431 センサー传感器

432 センサーチェック传感器检查

433 センサーブロック传感器组

434 センシティビティー灵敏度

435 センサアンプ读出放大镜

436 センター中心

437 センター.オブ.グラビティ重心

438 センター.ライン中线

439 センタリング定中心

440 ソースキャビネット源柜

441 ゾーン区域

442 ソケット软线(通道)

443 ソフト软的

444 ソフトウェア软件

445 ソリッドステートリレー固体继电器

446 ソレノイドバルブ电磁阀

447 ターゲット目标,靶材

448 ターボ涡轮

449 ターボポンプ涡轮泵,分子泵 450 ターミナル终端,端子

451 ターンテーブル转台

452 ダイオード二极管

453 ダイナミックバランス动态平衡

NO カタカナ(外来語)中文

454 タイプ型

455 タイマー定时器

456 タイミング定时,计时

457 タイミングパルス定时脉冲

458 タイム时间

459 タイムアップ时间已到

460 タイムオーバー超时

461 ダイヤグラム图表

462 タイムスイッチ定时开关

463 ダイヤモンドホイール金刚石砂轮

464 ダイヤル拨号(盘),刻度(盘) 465 ダイヤリング拨号

466 ダイレクト直接的,引导

467 ダウン下

468 ダクト管道

469 ダクトホース管道软管

470 ダクトクリーナー管道清洗器

471 ダスト灰尘

472 タコメーター转速表

473 タッチパネル触模式控制板

474 ダブルロードロック双重装料锁定

475 ダミー替代物(模型),假的 476 ダミィーウェハー试验用硅片

477 ダミーウェハー试验用硅片

478 ダメージ损伤

479 タンク箱,槽

480 タングステン钨

481 ダンパー阻尼器,缓冲器

482 チェーン链,通路

483 チェック检查

NO カタカナ(外来語)中文 484 チェックバルブ单向阀

485 チャージ充电

486 チャイム钟声,谐声

487 チャッキング吸盘

488 チャック夹住,夹器

489 チャックテーブル吸盘台

490 チャンネル沟道,频道

491 チャンバー室,容器

492 チューブ管子

593 ティーチング示教

594 ディスプレイ显示

595 ディスプレー显示器

596 ディップ浸滞,倾斜

597 ディップスイッチ双列直插开关

598 ディテクター探测器

599 ディデクター检测器

501 データ数据,资料

502 データロキング数据记录

503 テーパー锥形电位器

504 テープ磁带,胶带

505 テーブル桌子

506 テープレコーダー录音机

507 デガス出气

508 デジスイッチ数字式开关

509 デジタル数字式的

510 デジタルインプット数字输入

511 デジタルスイッチ数字式开关

512 デジタルテスタ数字式测试仪

513 デジタルボルトメーター数字式电压表

514 テスター测试器

515 テスティング试验

516 デバイス品种,元件

517 デバック调整,排除故障 518 テフロン聚四氟乙烯

519 デベロッパー显影剂

NO カタカナ(外来語)中文

520 デボ淀积

521 デルタ误差

522 テレセントリック远心的

523 テレビモニタ-- 电视监控器

524 テンキー数字键

525 テンション张力,压强

526 テンションゲージ压强计

527 テンションボルト张力螺柱

528 テンプ温度

529 ドア门

530 ドアスイッチ门开关

531 ドアノブ门钮

532 トータル全部

533 トグルスイッチ叉簧开关

534 ドッグ夹头

535 ドット小斑点

536 トップ顶部

537 ドライ烘干

538 ドライバー螺丝刀

539 ドライブ传动,激励

540 ドライヤー甩干机

541 トラック轨道

542 トラップ水封,活门,收集器 543 トラブル故障

544 トラブルシューティング故障排除

545 トランジスタ晶体管

546 トランス变压器

547 トランスファー传递,转移,变换 548 トリガー起动器

549 トリップ断路,跳闸

550 ドリフト飘移

551 トリッパ断路装置

552 トリマー修整器

553 トルク转矩,扭矩

554 トルクスイッチ转矩开关

半导体行业专业词汇

半导体行业专业词汇 . acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。

半导体专业术语英语..

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。 38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。

详细解析常见IC封装术语

详细解析常见IC封装术语 发布时间:2008-8-14 10:59:10 来源:中国芯片资料网—中国电子芯片资源网|全国专业的电子芯片资源基地|电子元件供应之家|芯片之家信息中心 在电子行业中,大家一般只对封装有大概的了解,具体封装是一个什么概念就不知道了 1、BGA(ball grid array) 球形触点陈列,表面贴装型封装之一。在印刷基板的背面按陈列方式制作出球形凸点用以代替引脚,在印刷基板的正面装配LSI 芯片,然后用模压树脂或灌封方法进行密封。也称为凸点陈列载体(PAC)。引脚可超过200,是多引脚LSI 用的一种封装。封装本体也可做得比QFP(四侧引脚扁平封装)小。例如,引脚中心距为1.5mm 的360 引脚BGA 仅为31mm 见方;而引脚中心距为0.5mm 的304 引脚QFP 为40mm 见方。而且BGA 不用担心QFP 那样的引脚变形问题。该封装是美国Motorola 公司开发的,首先在便携式电话等设备中被采用,今后在美国有可能在个人计算机中普及。最初,BGA 的引脚(凸点)中心距为1.5mm,引脚数为225。现在也有一些LSI 厂家正在开发500 引脚的BGA。BGA 的问题是回流焊后的外观检查。现在尚不清楚是否有效的外观检查方法。有的认为,由于焊接的中心距较大,连接可以看作是稳定的,只能通过功能检查来处理。美国Motorola 公司把用模压树脂密封的封装称为OMPAC,而把灌封方法密封的封装称为GPAC(见OMPAC 和GPAC)。 2、BQFP(quad flat package with bumper) 带缓冲垫的四侧引脚扁平封装。QFP 封装之一,在封装本体的四个角设置突起(缓冲垫) 以防止在运送过程中引脚发生弯曲变形。美国半导体厂家主要在微处理器和ASIC 等电路中采用此封装。引脚中心距0.635mm,引脚数从84 到196 左右(见QFP)。 3、碰焊PGA(butt joint pin grid array) 表面贴装型PGA 的别称(见表面贴装型PGA)。 4、C-(ceramic) 表示陶瓷封装的记号。例如,CDIP 表示的是陶瓷DIP。是在实际中经常使用的记号。 5、Cerdip 用玻璃密封的陶瓷双列直插式封装,用于ECL RAM,DSP(数字信号处理器)等电路。带有玻璃窗口的Cerdip 用于紫外线擦除型EPROM 以及内部带有EPROM 的微机电路等。引脚中心距2.54mm,引脚数从8 到42。在japon,此封装表示为DIP-G(G 即玻璃密封的意思)。 6、Cerquad 表面贴装型封装之一,即用下密封的陶瓷QFP,用于封装DSP 等的逻辑LSI 电路。带有窗口的Cerquad 用于封装EPROM 电路。散热性比塑料QFP 好,在自然空冷条件下可容许1. 5~2W 的功率。但封装成本比塑料QFP 高3~5 倍。引脚中心距有1.27mm、0.8mm、0.65mm、0.5mm、0.4mm 等多种规格。引脚数从32 到368。

半导体封装制程简介

(Die Saw) 晶片切割之目的乃是要將前製程加工完成的晶圓上一顆顆之芯片(Die)切割分離。首先要在晶圓背面貼上蓝膜(blue tape)並置於鋼 製的圆环上,此一動作叫晶圓粘片(wafer mount),如圖一,而後再 送至晶片切割機上進行切割。切割完後,一顆顆之芯片井然有序的排 列在膠帶上,如圖二、三,同時由於框架之支撐可避免蓝膜皺摺而使 芯片互相碰撞,而圆环撐住膠帶以便於搬運。 圖一 圖二

(Die Bond) 粘晶(装片)的目的乃是將一顆顆分離的芯片放置在导线框架(lead frame)上並用銀浆(epoxy )粘着固定。引线框架是提供芯片一個粘着的位置+ (芯片座die pad),並預設有可延伸IC芯片電路的延伸腳(分為內 引腳及外引腳inner lead/outer lead)一個引线框架上依不同的設計可以有 數個芯片座,這數個芯片座通常排成一列,亦有成矩陣式的多列排法 。引线框架經傳輸至定位後,首先要在芯片座預定粘着芯片的位置上点

上銀浆(此一動作稱為点浆),然後移至下一位置將芯片置放其上。 而經過切割的晶圓上的芯片則由焊臂一顆一顆地置放在已点浆的晶 粒座上。装片完後的引线框架再由传输设备送至料盒(magazine) 。装片后的成品如圖所示。 引线框架装片成品 胶的烧结 烧结的目的是让芯片与引线框晶粒座很好的结合固定,胶可分为银浆(导电胶)和绝缘胶两种,根据不同芯片的性能要求使用不同的胶,通常导电胶在200度烤箱烘烤两小时;绝缘胶在150度烤箱烘烤两个半小时。 (Wire Bond) 焊线的目的是將芯片上的焊点以极细的金或铜线(18~50um)連接到引线框架上的內引腳,藉而將IC芯片的電路訊號傳輸到外界。當

半导体行业专业术语

半导体行业专业术语.txt都是一个山的狐狸,你跟我讲什么聊斋,站在离你最近的地方,眺望你对别人的微笑,即使心是百般的疼痛只为把你的一举一动尽收眼底.刺眼的白色,让我明白什么是纯粹的伤害。悬赏太少了吧~嘎嘎不过尽管如此还是分享下俺的资料(有19800个字,这里发不下,如果还需要就给我小消息~~~):) 移动通讯词汇(中英) A 安全地线 safe ground wire 安全特性 security feature 安装线 hook-up wire 按半周进行的多周期控制 multicycle controlled by half-cycle 按键电话机 push-button telephone set 按需分配多地址 demand assignment multiple access(DAMA) 按要求的电信业务 demand telecommunication service 按组编码 encode by group B 八木天线 Yagi antenna 白噪声 white Gaussian noise 白噪声发生器 white noise generator 半波偶极子 halfwave dipole 半导体存储器 semiconductor memory 半导体集成电路 semiconductor integrated circuit 半双工操作 semi-duplex operation 半字节 Nib 包络负反馈 peak envelop negative feed-back 包络延时失真 envelop delay distortion 薄膜 thin film 薄膜混合集成电路 thin film hybrid integrated circuit 保护比(射频) protection ratio (RF) 保护时段 guard period 保密通信 secure communication 报头 header 报文分组 packet 报文优先等级 message priority 报讯 alarm 备用工作方式 spare mode 背景躁声 background noise 倍频 frequency multiplication 倍频程 actave 倍频程滤波器 octave filter 被呼地址修改通知 called address modified notification

半导体常用英语词汇-

MFG 常用英文单字 Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导容易 绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易 半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片, 称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在 上一站加工时,本站便要空着机台等待Super Hot Run。 Hot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则,或 视常班向生产指令而定。 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。 Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格 内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知 制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能力。 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料,然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都

IC封装术语(中英文对照)

IC封装术语(中英文对照) 1、SOW(SmallOutlinePackage(Wide-Jype)) 宽体SOP。部分半导体厂家采用的名称。 2、SOF(smallOut-Linepackage) 小外形封装。表面贴装型封装之一,引脚从封装两侧引出呈海鸥翼状(L字形)。材料有塑料和陶瓷两种。另外也叫SOL和DFP。SOP除了用于存储器LSI外,也广泛用于规模不太大的ASSP等电路。在输入输出端子不超过10~40的领域,SOP是普及最广的表面贴装封装。引脚中心距1.27mm,引脚数从8~44。另外,引脚中心距小于1.27mm的SOP也称为SSOP;装配高度不到1.27mm的SOP也称为TSOP(见SSOP、TSOP)。还有一种带有散热片的SOP。 3、SONF(SmallOut-LineNon-Fin) 无散热片的SOP。与通常的SOP相同。为了在功率IC封装中表示无散热片的区别,有意增添了NF(non-fin)标记。部分半导体厂家采用的名称(见SOP)。 4、SQL(SmallOut-LineL-leadedpackage) 按照JEDEC(美国联合电子设备工程委员会)标准对SOP所采用的名称(见SOP)。 5、SOJ(SmallOut-LineJ-LeadedPackage) J形引脚小外型封装。表面贴装型封装之一。引脚从封装两侧引出向下呈J字形,故此得名。通常为塑料制品,多数用于DRAM和SRAM等存储器LSI电路,但绝大部分是DRAM。用SOJ封装的DRAM 器件很多都装配在SIMM上。引脚中心距1.27mm,引脚数从20至40(见SIMM)。 6、SOIC(smallout-lineintegratedcircuit) SOP的别称(见SOP)。国外有许多半导体厂家采用此名称。 7、SOI(smallout-lineI-leadedpackage) I形引脚小外型封装。表面贴装型封装之一。引脚从封装双侧引出向下呈I字形,中心距1.27mm。贴装占有面积小于SOP。日立公司在模拟IC(电机驱动用IC)中采用了此封装。引脚数26。 8、SO(smallout-line) SOP的别称。世界上很多半导体厂家都采用此别称。(见SOP)。 9、SMD(surfacemountdevices) 表面贴装器件。偶而,有的半导体厂家把SOP归为SMD(见SOP)。

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

半导体封装简介(精)

半导体封装简介: 半导体生产流程由晶圆制造、晶圆测试、芯片封装和封装后测试组成。塑封之后,还要进行一系列操作,如后固化(Post Mold Cure)、切筋和成型(Trim&Form)、电镀(Plating)以及打印等工艺。典型的封装工艺流程为:划片装片键合塑封去飞边电镀打印切筋和成型外观检查成品测试包装出货。 各种半导体封装形式的特点和优点: 一、DIP双列直插式封装 DIP(DualIn-line Package)是指采用双列直插形式封装的集成电路芯片,绝大多数中小规模集成电路(IC)均采用这种封装形式,其引脚数一般不超过100个。采用DIP封装的CPU芯片有两排引脚,需要插入到具有DIP 结构的芯片插座上。当然,也可以直接插在有相同焊孔数和几何排列的电路板上进行焊接。DIP封装的芯片在从芯片插座上插拔时应特别小心,以免损坏引脚。 DIP封装具有以下特点: 1.适合在PCB(印刷电路板)上穿孔焊接,操作方便。 2.芯片面积与封装面积之间的比值较大,故体积也较大。 Intel系列CPU中8088就采用这种封装形式,缓存(Cache)和早期的内存芯片也是这种封装形式。 二、QFP塑料方型扁平式封装和PFP塑料扁平组件式封装 QFP封装 QFP(Plastic Quad Flat Package)封装的芯片引脚之间距离很小,管脚很细,一般大规模或超大型集成电路都采用这种封装形式,其引脚数一般在100个以上。用这种形式封装的芯片必须采用SMD(表面安装设备技术)将芯片与主板焊接起来。采用SMD安装的芯片不必在主板上打孔,一般在主板表面上有设计好的相应管脚的焊点。将芯片各脚对准相应的焊点,即可实现与主板的焊接。用这种方法焊上去的芯片,如果不用专用工具是很难拆卸下来的。 PFP(Plastic Flat Package)方式封装的芯片与QFP方式基本相同。唯一的区别是QFP一般为正方形,而PFP既可以是正方形,也可以是长方形。

半导体术语

Abrupt junction 突变结Accelerated testing 加速实验Acceptor 受主 Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层 Active region 有源区 Active component 有源元 Active device 有源器件 Activation 激活 Activation energy 激活能 Active region 有源(放大)区Admittance 导纳 Allowed band 允带 Alloy-junction device 合金结器件Aluminum(Aluminium) 铝Aluminum –oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度

Amorphous 无定形的,非晶体的 Amplifier 功放扩音器放大器 Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火 Anisotropic 各向异性的 Anode 阳极 Arsenic (AS) 砷 Auger 俄歇 Auger process 俄歇过程 Avalanche 雪崩 Avalanche breakdown 雪崩击穿 Avalanche excitation雪崩激发 B Background carrier 本底载流子 Background doping 本底掺杂 Backward 反向 Backward bias 反向偏置 Ballasting resistor 整流电阻 Ball bond 球形键合 Band 能带 Band gap 能带间隙 Barrier 势垒

半导体一些术语的中英文对照

离子注入机 ion implanter LSS理论 Lindhand Scharff and Schiott theory 又称“林汉德-斯卡夫-斯高特理论”。 沟道效应 channeling effect 射程分布 range distribution 深度分布 depth distribution 投影射程 projected range 阻止距离 stopping distance 阻止本领 stopping power 标准阻止截面 standard stopping cross section 退火 annealing 激活能 activation energy 等温退火 isothermal annealing 激光退火 laser annealing 应力感生缺陷 stress-induced defect 择优取向 preferred orientation

制版工艺 mask-making technology 图形畸变 pattern distortion 初缩 first minification 精缩 final minification 母版 master mask 铬版 chromium plate 干版 dry plate 乳胶版 emulsion plate 透明版 see-through plate 高分辨率版 high resolution plate, HRP 超微粒干版 plate for ultra-microminiaturization 掩模 mask 掩模对准 mask alignment 对准精度 alignment precision 光刻胶 photoresist 又称“光致抗蚀剂”。 负性光刻胶 negative photoresist

芯片封装可靠性试验专业术语

可靠性试验的常用术语 Biil of material:BOM 材料清单 可靠性试验常用术语 试验名称英文简称常用试验条件备注 温度循环TCT —65C ~150C, dwell15min, 100cycles 试验设备采用气冷的方式,此温度设置为设备的极限温度 高压蒸煮PCT 121 C,100RH., 2ATM,96hrs 此试验也称为高压蒸汽,英文也称为autoclave 热冲击TST —65 C ~150C, dwell15min, 50cycles 此试验原理与温度循环相同,但温度转换速率更快,所以比温度循环 更严酷。 稳态湿热THT 85C ,85%RH., 168hrs 此试验有时是需要加偏置电压的,一般为Vcb=~, 此时试验为THBT。易焊性solderability 235C,2 ±此试验为槽焊法,试验后为1 0~40倍的显微镜下看管脚的上锡面积。 耐焊接热SHT 260C ,10 ±1s 模拟焊接过程对产品的影响。 电耐久Burn in Vce=, Ic=P/Vce,168hrs 模拟产品的使用。(条件主要针对三极管) 高温反偏HTRB 125C, Vcb=~, 168hrs 主要对产品的PN结进行考核。 回流焊IR reflow Peak C 高温贮存超声波检测225C) HTST SAT 泡、裂缝。但产品表面一定要平整。 IC 产品的质量与可靠性测试 、使用寿命测试项目Life test items 只针对SME产品进行考核,且最多只能做三次。 150C ,168hrs 产品的高温寿命考核。 检测产品的内部离层、气):EFR, OLT (HTOL), LTOL

半导体封装形式介绍

捷伦电源,赢取iPad2Samtec连接器完整的信号来源每天新产品时刻新体验完整的15A开关模式电源 摘要:半导体器件有许多封装型式,从DIP、SOP QFP PGA BGA到CSP再到SIP,技术 指标一代比一代先进,这些都是前人根据当时的组装技术和市场需求而研制的。总体说来,它大概有三次重大的革新:第一次是在上世纪80年代从引脚插入式封装到表面贴片封装, 极大地提高了印刷电路板上的组装密度;第二次是在上世纪90年代球型矩正封装的出现, 它不但满足了市场高引脚的需求,而且大大地改善了半导体器件的性能;晶片级封装、系统 封装、芯片级封装是现在第三次革新的产物,其目的就是将封装减到最小。每一种封装都有 其独特的地方,即其优点和不足之处,而所用的封装材料,封装设备,封装技术根据其需要 而有所不同。驱动半导体封装形式不断发展的动力是其价格和性能。 关键词:半导体;芯片级封装;系统封装;晶片级封装 中图分类号:TN305. 94文献标识码:C文章编号:1004-4507(2005)05-0014-08 1半导体器件封装概述 电子产品是由半导体器件(集成电路和分立器件)、印刷线路板、导线、整机框架、外壳及显示等部分组成,其中集成电路是用来处理和控制信号,分立器件通常是信号放大,印刷线路 板和导线是用来连接信号,整机框架外壳是起支撑和保护作用,显示部分是作为与人沟通的 接口。所以说半导体器件是电子产品的主要和重要组成部分,在电子工业有“工业之米”的 美称。 我国在上世纪60年代自行研制和生产了第一台计算机,其占用面积大约为100 m2以上,现 在的便携式计算机只有书包大小,而将来的计算机可能只与钢笔一样大小或更小。计算机体 积的这种迅速缩小而其功能越来越强大就是半导体科技发展的一个很好的佐证,其功劳主要 归结于:⑴半导体芯片集成度的大幅度提高和晶圆制造(Wafer fabrication) 中光刻精度的 提高,使得芯片的功能日益强大而尺寸反而更小;(2)半导体封装技术的提高从而大大地提 高了印刷线路板上集成电路的密集度,使得电子产品的体积大幅度地降低。 半导体组装技术(Assembly technology )的提高主要体现在它的圭寸装型式(Package)不断发展。通常所指的组装(Assembly)可定义为:利用膜技术及微细连接技术将半导体芯片(Chip) 和框架(LeadFrame)或基板(Sulbstrate) 或塑料薄片(Film)或印刷线路板中的导体部分连接 以便引出接线引脚,并通过可塑性绝缘介质灌封固定,构成整体立体结构的工艺技术。它具

半导体用语

半导体用语

————————————————————————————————作者: ————————————————————————————————日期: ?

Siliconingot 硅锭 Wafer晶片 Mirror wafer 镜面晶圆 Patter晶圆片 FAB:fabrication 制造 Fabrication Facility 制造wafer生产工厂 Probe test探针测试 Probe card探针板 Contact连接 ProbeTip 探头端部 Chip Function 功能 EPM:Electrical Parameter Monitoring Summary总结 R&D:Researchand Development研究和开发MCP:Multi Chip Package 多芯片封装 POP:Package on Package e-MMC:embedded Multi Media card 嵌入式多媒体卡WLP:Wafer Level Package 晶圆级封装 SDP 一层 DDP 两层 QDP 四层

ODP八层 Pad out BackGrind背研磨 WaferGrindBack Grind 磨片 Overview 概述 TPM:Total Profit Management SKTPM Operation 操作 Erase 消除 Key Para.:Keyparameter 关键参数 Cycling 写入次数、循环次数 Retention 保留时间 Non-V olatile memory Volatile memory Read读 Write写 Refresh 更新 Speed速度、速率、转速 Restore 修复、恢复 Electrical Signal 电信号 WFBI:WaferBurn-In PT1H:Probe Test1HotTest PT1C:Probe Test 1 ColdTest

半导体专业术语英语讲解学习

半导体专业术语英语

1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷

22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。

半导体专业用语

金属前介质层(PMD)金属间介质层(IMD)W塞(W PLUG) 钝化层(Passivation) acceptor 受主,如B,掺入Si中需要接受电子Acid:酸 actuator激励 ADI After develop inspection显影后检视 AEI After etching inspection蚀科后检查 AFM atomic force microscopy 原子力显微Alignment Alloy:合金 Aluminum Ammonia amplifier AMU Analog Angstrom: Anisotropic arc chamber ARC: Argon(Ar)氩 Arsenic(As) Arsine(AsH) ASHER Asher ASI ASIC Aspect ration ATE 自动检测设备 Back end:后段(CONTACT以后、PCM测试前)Backside Etch 背面蚀刻 Backside 晶片背面 Baseline:标准流程 Beam-Current 电子束电流 Benchmark:基准 BGA ball grid array 高脚封装 Bipolar:双极 Boat:扩散用(石英)舟Cassette 装晶片的晶舟 CD:critical dimension 关键性尺寸,临界尺寸Chamber 反应室 Chart 图表 Child lot 子批 chiller 制冷机 Chip (die) 晶粒 Doping 掺杂 Dose 剂量 Downgrade 降级 DRC design rule check 设计规则检查 Dry Clean 干洗 Due date 交期 Dummy wafer 挡片 E/R etch rate 蚀刻速率 EE 设备工程师 ELS extended life source 高寿命离子源enclosure 外壳

半导体用语

Siliconingot 硅锭 Wafer晶片 Mirror wafer 镜面晶圆 Patter 晶圆片 FAB:fabrication 制造 Fabrication Facility 制造wafer生产工厂 Probe test 探针测试 Probe card 探针板 Contact 连接 Probe Tip 探头端部 Chip Function 功能 EPM:Electrical Parameter Monitoring Summary 总结 R&D:Research and Development 研究和开发MCP:Multi Chip Package 多芯片封装 POP:Package on Package e-MMC:embedded Multi Media card 嵌入式多媒体卡WLP:Wafer Level Package 晶圆级封装 SDP 一层 DDP 两层 QDP 四层

ODP 八层 Pad out Back Grind 背研磨 Wafer Grind Back Grind 磨片Overview 概述 TPM:Total Profit Management SKTPM Operation 操作 Erase 消除 Key Para. :Key parameter 关键参数Cycling 写入次数、循环次数Retention 保留时间 Non-V olatile memory V olatile memory Read 读 Write 写 Refresh 更新 Speed 速度、速率、转速 Restore 修复、恢复 Electrical Signal 电信号 WFBI:Wafer Burn-In PT1H:Probe Test 1 Hot Test PT1C:Probe Test 1 Cold Test

半导体封装和质量术语(DOC)

封装和质量术语 以下是 TI 常见封装组、系列和偏好代码的定义,此外还有在评估 TI 封装选项时可能十分有用的其他重要术语。 常见封装组定义 BGA球栅阵列 CFP同时包括定型和不定型 CFP = 陶瓷扁平封装 LGA基板栅格阵列 PFM塑料法兰安装封装 QFP四方扁平封装 SIP单列直插式封装 OPTO*光传感器封装 = 光学 RFID射频识别设备 CGA柱栅阵列 COF薄膜覆晶 COG玻璃覆晶 DIP双列直插式封装 DSBGA芯片尺寸球栅阵列(WCSP = 晶圆级芯片封装) LCC引线式芯片载体 NFMCA-LID带盖的基体金属腔 PGA针栅阵列 POS基板封装 QFN四方扁平封装无引线 SO小外形 SON小外形无引线 TO晶体管外壳 ZIP锯齿形直插式 uCSP微型芯片级封装 DLP数字光处理 模块模块 TAB载带自动键合封装 封装系列定义 CBGA陶瓷球栅阵列

CDIP玻璃密封陶瓷双列直插式封装CDIP SB侧面钎焊陶瓷双列直插式封装CPGA陶瓷针栅阵列 CZIP陶瓷锯齿形封装 DFP双侧引脚扁平封装 FC/CSP倒装芯片/芯片级封装 HLQFP热增强型低厚度 QFP HQFP热增强型四方扁平封装 HSOP热增强型小外形封装 HTQFP热增强型薄型四方扁平封装HTSSOP热增强型薄型紧缩小外形封装HVQFP热增强型极薄四方扁平封装JLCC J 形引线式陶瓷或金属芯片载体LCCC无引线陶瓷芯片载体 LQFP低厚度四方扁平封装 PDIP塑料双列直插式封装 SOJ J 形引线式小外形封装 SOP小外形封装(日本) SSOP紧缩小外形封装 TQFP薄型四方扁平封装 TSSOP薄型紧缩小外形封装 TVFLGA薄型极细基板栅格阵列 TVSOP极薄小外形封装 VQFP极薄四方扁平封装 DIMM*双列直插式内存模块 HSSOP*热增强型紧缩小外形封装LPCC*无引线塑料芯片载体 MCM*多芯片模块 MQFP*金属四方扁平封装 PLCC*塑料引线式芯片载体 PPGA*塑料针栅阵列 SDIP*紧缩双列直插式封装 SIMM*单列直插式内存模块 SODIMM*小外形双列直插式内存模块TSOP*薄型小外形封装 VSOP*极小外形封装

电气的专业术语 英文

电气的专业术语英文(一) 11. interfere with 有害于。。。 12. indicating needle仪表指针 13. hazardous 危险的 14. pivot 支点 15. terminal 端子 16. spiral 螺旋形的 17. spring 弹簧 18. shunt 分流,分路,并联,旁路 19. rectifier 整流器 20. electrodynamometer 电测力计 21. strive for 争取 22. vane 机器的叶,叶片 23. strip 条,带,(跨接)片 24. crude 不精细的,粗略的 25. polarity 极性 26. fuse 保险丝,熔丝 27. rugged 坚固的 28. depict 描绘,描写 29. cartridge 盒式保险丝 30. blow (保险丝)烧断

31. plug fuse 插头式保险丝 32. malfunction 故障 33. deenergize 不给… 通电 34. insulation 绝缘 35. generator 发电机 36. magneto 磁发电机 37. humidity 湿度 38. moisture 潮湿湿气 39. abbreviate 缩写,缩写为 40. transformer 变压器 41. thumb 检查,查阅 42. milliammeter 毫安表 43. multimeter 万用表 44. dynamometer 测力计,功率计 45. aluminum 铝 46. deteriorate 使….恶化 47. eddy current 涡流 48. gear 齿轮,传动装置 49. dial 刻度盘 50. semiconductor 半导体 51. squirrel 鼠笼式

相关文档