文档库 最新最全的文档下载
当前位置:文档库 › 3组合逻辑电路习题解答

3组合逻辑电路习题解答

3组合逻辑电路习题解答
3组合逻辑电路习题解答

3组合逻辑电路习题解答 33

自我检测题

1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。

2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。

3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。

4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。

5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。

7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。

8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出

C .电路中的时延

D .逻辑门类型不同

11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的?

A .在输出级加正取样脉冲

B .在输入级加正取样脉冲

C .在输出级加负取样脉冲

D .在输入级加负取样脉冲

12.当二输入与非门输入为 变化时,输出可能有竞争冒险。

A .01→10

B .00→10

C .10→11

D .11→01

13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010

14.数据分配器和 有着相同的基本电路结构形式。

A .加法器

B .编码器

C .数据选择器

D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16

16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

3组合逻辑电路习题解答 34

A .

B A F = B .0101B B A A F ++=

D .0011B A B A F ++=

17.集成4位数值比较器74LS85级联输入I A <B 、I A=B 、I A >B 分别接001,当输入二个相等的4位数据时,输出F A <B 、F A=B 、F A >B 分别为 。 A .010 B .001 C .100 D .011

18.实现两个四位二进制数相乘的组合电路,应有 个输出函数。 A . 8 B .9 C .10 D .11

19.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要 个异或门。

A .2

B .3

C .4

D .5

20.在图T3.20中,能实现函数C B B A F +=的电路为 。

A B F

C

B A

C F

(a )

(b ) (c )

图T3.20

A .电路 (a )

B .电路(b )

C .电路(c )

D .都不是

习 题

1.分析图P3.1所示组合逻辑电路的功能,要求写出与-或逻辑表达式,列出其真值表,并说明电路的逻辑功能。

A B C

S

图P3.1

3组合逻辑电路习题解答 35

解: CO =AB +BC +AC

AC BC AB C B A ABC CO C B A ABC S +++++=+++=)()(

AC BC AB C B A ABC )(+++=

AC BC AB C AC BC AB B AC BC AB A ABC +++= A B AB C AC C A B C BC B A ABC +++= C B A C B A C B A ABC +++=

真值表

电路功能:一位全加器,A 、B 为两个加数,C 为来自低位的进位,S 是相加的和,CO 是进位。

2.已知逻辑电路如图P3.2所示,试分析其逻辑功能。

A

B C

图P3.2

解:(1)逻辑表达式

ABC P =1,ABC B BP P ==12,ABC A AP P ==13,ABC C CP P ==14

432P P P F =ABC C ABC A ABC B =ABC C ABC A ABC B ++=

)(C B A ABC ++= ))((C B A C B A ++++=

C AB C B A C B A BC A C B A C B A +++++=

3组合逻辑电路习题解答 36

(2)真值表

(3)功能

从真值表看出,ABC =000或ABC =111时,F =0,而A 、B 、C 取值不完全相同时,F =1。故这种电路称为“不一致”电路。

3.试用与非门设计一组合逻辑电路,其输入为3位二进制数,当输入中有奇数个1时输出为1,否则输出为0。

解:(1

(2)ABC C B A C B A C B A ABC C B A C B A C B A F ???=+++=(无法用卡诺图化简) (3)逻辑图

F

4.4位无符号二进制数A ( A 3A 2A 1A 0),请设计一个组合逻辑电路实现:当0≤A <8或12≤A <15时,F 输出1,否则,F 输出0。 解:(1)真值表:

3组合逻辑电路习题解答 37

(2)表达式

111111111110

000110

1110110100A 3A 2

A 1A

0F 0

A 3A

1A 2

A 0

F

02123A A A A A F ++=

(4)如果要求用与非门实现,则:

01230123012302123A A A A A A A A A A A A A A A A A F =+=+=++=

逻辑图:

A A A A

5.约翰和简妮夫妇有两个孩子乔和苏,全家外出吃饭一般要么去汉堡店,要么去炸鸡店。每次出去吃饭前,全家要表决以决定去哪家餐厅。表决的规则是如果约翰和简妮都同意,或多数同意吃炸鸡,则他们去炸鸡店,否则就去汉堡店。试设计一组合逻辑电路实现上述表决电路。

解:(1)逻辑定义:A 、B 、C 、D 分别代表约翰、简妮、乔和苏。F =1表示去炸鸡店, F =0表示去汉堡店。 (2)真值表

3组合逻辑电路习题解答 38

(3)用卡诺图化简

(4)逻辑图

AB 00

CD

011110

00011110

F

000000101111

1

B C

A F

D

F =AB +ACD +BCD

6.试设计一个全减器组合逻辑电路。全减器是可以计算三个数X 、Y 、BI 的差,即D =X -Y -CI 。当X <Y +BI 时,借位输出BO 置位。

解:设被减数为X ,减数为Y ,从低位来的借位为BI ,则1位全减器的真值表如图 (a)所示,其中D 为全减差,BO 为向高位发出的借位输出。 (1)真值表

01011

1

01

00011110X

Y BI

D 01110

1

01

00011110X

Y BI

BO

由卡诺图得

I B Y X D ⊕⊕=

3组合逻辑电路习题解答 39

Y X B X YB B I I O ++=

电路图

Y X

D

BO

BI

7.设计组合逻辑电路,将4位无符号二进制数转换成格雷码。

(2)根据真值表分别画出输出变量G 3,G 2,G 1,G 0的卡诺图,如图4.1.2-12所示。化简后,得

33B G =,232B B G ⊕=,121B B G ⊕=,010B B G ⊕=

0000111100001

1

1

1

00

0110

1100011011B 3B 2

B 1B 0

G 20011110011000

1

1

00

0110

1100011011B 3B 2

B 1B 0

G 10101010101010

1

1

00

0110

1100011011B 3B 2

B 1B 0G 0

(3)由逻辑表达式得电路实现,如图所示。

3组合逻辑电路习题解答 40

B 3B 2

B 0

B 0

G 3

G 2

G 0

G 0

8.请用最少器件设计一个健身房照明灯的控制电路,该健身房有东门、南门、西门,在各个门旁装有一个开关,每个开关都能独立控制灯的亮暗,控制电路具有以下功能:

(1)某一门开关接通,灯即亮,开关断,灯暗;

(2)当某一门开关接通,灯亮,接着接通另一门开关,则灯暗; (3)当三个门开关都接通时,灯亮。

解:设东门开关为A ,南门开关为B ,西门开关为C 。开关闭合为1,开关断开为0。灯为Z

(2)画出卡诺图如图所示。

01011

1

1

00011110A

BC Z

=1

=1

A Z

B C

(3)根据卡诺图,可得到该逻辑电路的函数表达式:

C B A ABC C B A C B A C B A Z ⊕⊕=+++=

(3)根据逻辑函数表达式,可画出逻辑电路图如图所示。

9.设计一个能被2或3整除的逻辑电路,其中被除数A 、B 、C 、D 是8421BCD 编码。规定能整除时,输出L 为高电平,否则,输出L 为低电平。要求用最少的

与非门实现。(设0能被任何数整除)

解:(1

3组合逻辑电路习题解答 41

2)用卡诺图化简

10111

1

×

×

××1

1

×

×

0001111000011110

AB CD

L B D

C A L

D C B A D C B A D C B A L =++=++=

(3)逻辑图

10.如图P3.10所示为一工业用水容器示意图,图中虚线表示水位,A 、B 、C 电极被水浸没时会有高电平信号输出,试用与非门构成的电路来实现下述控制作用:水面在A 、B 间,为正常状态,亮绿灯G ;水面在B 、C 间或在A 以上为异常状态,点亮黄灯Y ;面在C 以下为危险状态,点亮红灯R 。要求写出设计过程。

A B C

图P3.10

解:(1)真值表

(2)卡诺图化简

3组合逻辑电路习题解答 42

A

0BC

1

00011110

Y 010××

×

×

A

0BC

1

00011110

G 0

01××

×

×

B

A B A G ==1

A C

B A

C B Y ?=+=

A 0

BC

1

00011110R 100××

×0

×

G

C

R =Y

R

(3)逻辑图

11.试用卡诺图法判断逻辑函数式

Y (A ,B ,C ,D )=∑m (0,1,4,5,12,13,14,15)

是否存在逻辑险象,若有,则采用增加冗余项的方法消除,并用与非门构成相应的电路。

解:卡诺图如图(a )所示。 最简逻辑函数式为:

AB C A Y +=

此函数存在逻辑险象。只要如图所示增加冗余项C B 即可,逻辑式变为:

C B AB C A C B AB C A Y ??=++=

用与非门构成的相应电路如图 (b)所示。

A

L 2

C

100011110AB CD

00011110100110011110

Y B

(a ) (b )

12.已知∑∑+=

)14,2,1()13,12,11,10,9,8,7,3,0(),,,(d m D C B A Y ,求Y 的无竞争冒险的最

3组合逻辑电路习题解答 43

简与-或式。

解:卡诺图如图所示:

1×1000101

1

1

0001111000011110

AB CD

Y

×11×0

C B A C

D A D B C A Y +++=

上式中C B A 为冗余项,以消除竞争冒险。

13.某一组合电路如图P3.13所示,输入变量(A ,B ,D )的取值不可能发生(0,1,0)的输入组合。分析它的竞争冒险现象,如存在,则用最简单的电路改动来消除之。

F

图P3.13

解:解法1:从逻辑图得到以下表达式: AC D C B C B A F ++=

根据表达式得到卡诺图:

3组合逻辑电路习题解答 44

1100100010110

1

1

00

01111000011110

AB CD F

但由于从卡诺图可见,包围圈有两处相切,因此存在竞争冒险现象。可以通过相切点位置增加一个乘积项,得D AB D C A AC D C B C B A F ++++=

进一步分析,当ACD =000时, B B F +=,由于输入变量(A ,B ,D )的取值不可能发生(0,1,0)的输入组合,因此,当ACD =000时,B 必然为0,不会产生竞争冒险。因此,D C A 这一项不需要增加,只需要增加D AB 。

电路图为:

F

解法二:如果逻辑表达式在某种取值下,出现A A F +=、B B F +=、C C F +=、D D F +=,就有可能出现竞争冒险。

根据逻辑表达式AC D C B C B A F ++=,A A F +=和D D F +=不会出现。

当A =C =D =0,出现B B F +=,但由于输入变量(A ,B ,D )的取值不可能发生(0,1,0)的输入组合,因此,当ACD =000时,B 必然为0,因此也不会产生竞争冒险。

3组合逻辑电路习题解答 45

只有当A =B =1,D =0,出现C C F +=,存在竞争冒险问题,加冗余项D AB 可消除竞争冒险。

14.电路如图P3.14所示,图中①~⑤均为2线—4线译码器。

(1)欲分别使译码器①~④处于工作状态,对应的C 、D 应输入何种状态(填表P3.12-1);

(2)试分析当译码器①工作时,请对应A 、B 的状态写出1310Y ~Y 的状态(填表P3.12-2); (3)说明图P3.14的逻辑功能。

表P3.14-1 表P3.14-2

13

Y 12Y 11Y 10Y 23

Y 22Y 21Y 20Y 33

Y 32Y 31Y 30Y 43

Y 42Y 41Y 40Y

图P3.14

解:

3组合逻辑电路习题解答 46

逻辑功能:由74LS139构成的4线—16线译码器

15.图P3.15所示电路是由3线-8线译码器74HC138及门电路构成的地址译码电路。试列出此译码电路每个输出对应的地址,要求输入地址A 7A 6A 5A 4A 3A 2A 1A 0用十六进制表示。

A 3A 4A 5A 6A 7

图P3.15

解:由图可见,74HC138的功能扩展输入端必须满足E 1=1、032==E E 才能正常译

码,因此E 1=A 3=1;542A A E =,即A 4=1,A 5=1; 0763=+=A A E ,即A 6=0,A 7=0。所以,该地址译码器的译码地址范围为A 7A 6A 5A 4A 3A 2A 1A 0=00111A 2A 1A 0=00111000~00111111,用十六进制表示即为38H ~3FH 。输入、输出真值表如表1所示。

表1 地址译码器的真值表

16.写出图P3.16所示电路的逻辑函数,并化简为最简与-或表达式。

3组合逻辑电路习题解答 47

10B A

C L

图P3.16

解:由图(a )写出逻辑函数并化简,得

C

C AB C B A C B A C B A Y Y Y Y Y Y Y Y L =+++=+++==64206420

17.试用一片3线-8线译码器74HC138和最少的门电路设计一个奇偶校验器,要求当输入变量ABCD 中有偶数个1时输出为1,否则为0。(ABCD 为0000时视作偶数个1)。

解:ABCD D C AB D C B A D C B A D BC A D C B A CD B A D C B A F +++++++= ABCD D C B A D C B A CD B A D C AB D C B A D BC A D C B A ++++++++= D ABC C B A C B A C B A D C AB C B A BC A C B A )()(+++++++=

D C AB C B A BC A C B A D C AB C B A BC A C B A )()(+++++++= D C AB C B A BC A C B A ⊕+++=)(

D C AB C B A BC A C B A ⊕???=)(

连接图

A

B C 10

0F

3组合逻辑电路习题解答 48

18.用一个8线-3线优先编码器74HC148和一个3线-8线译码器74HC138实现3位格雷码→3位二进制的转换。

解:根据下表可得到连线图:

B 1B 0B 2

G G G

19.根据图P3.19所示4选1数据选择器,写出输出Z 的最简与-或表达式。 解:C A C A B C AB B A BC A B A Z ++=+++=

20.由4选1数据选择器和门电路构成的组合逻辑电路如图P3.20所示,试写出输出E 的最简逻辑函数表达式。

解:D

C C A

D ABC D C B A CD B A CD B A

E +=+++=

3组合逻辑电路习题解答 49

图P3.19 图P3.20

21.由4选1数据选择器构成的组合逻辑电路如图P3.21所示,请画出在图

P3.21所示输入信号作用下,L 的输出波形。

A B C L

图P3.21

解:4选1数据选择器的逻辑表达式为: 301201101001D A A D A A D A A D A A Y +++=

将A 1=A ,A 0=B ,D 0=1,D 1=C ,C D =2,D 3=C 代入得 ABC C B A BC A C B A C B A ABC C B A BC A B A Y +

+++=+++=

根据表达式可画出波形图:

C

A B L

3组合逻辑电路习题解答 50

22.已知用8选1数据选择器74LS151构成的逻辑电路如图P3.22所示,请写出输出L 的逻辑函数表达式,并将它化成最简与-或表达式。

图P3.22

解:(1)写出逻辑函数表达式: C AB C B A BC A C B A C B A L ++++=

(2)用卡诺图化简

1111

01

0010110101

L BC

A

B A

C L +=

23.用一个8选1数据选择器74LS151和非门实现: ))()()((F B C A D A C B BF C A C B A E Y +++++++++=

解:)(F B C A D A BC BF C A C B A E Y +++=

)(F m F m m D m D m m F m F m m m E 2027376441+++++++++= )(7643210m F m m D m m m F m E ++++++=

3组合逻辑电路习题解答 51

B A

C E

1

24.图P3.24所示是用二个4选1数据选择器组成的逻辑电路,试写出输出Z 与输入M 、N 、P 、Q 之间的逻辑函数式。

P 0N

图P3.24

解;P MQ N Q M N P Q M N NMQ Z )()(+++= MQP N QP M N P Q M N P NMQ +++= QP N P NQ +=

25.用二个4选1数据选择器实现函数L ,允许使用反相器。 BCE DEF B A E D C B A DE C B A E D C B A E L +++++= 解:BCE DEF B A E D C B A DE C B A E D C B A E L +++++= BEC ADF D AC D C A D C A E B E B E B ++++++=)( BEC E B ADF C D A C D A C D A E B E B ++++++=)(

电路图

3组合逻辑电路习题解答 52

26.一个组合逻辑电路有两个控制信号C 1和C 2,要求: (1)C 2C 1=00时,B A F ⊕= (2)C 2C 1=01时,AB F = (3)C 2C 1=10时,B A F +=

(4)C 2C 1=11时,AB F =

试设计符合上述要求的逻辑电路(器件不限) 解:方法一:真值表→卡诺图化简→逻辑图 真值表

卡诺图化简

000011110C 2C 1

AB

00011110

101110100101

F

AB C C B A C C B A C B A C A C C F 12122212++++=

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

实验3-组合逻辑电路数据选择器实验

南通大学计算机科学与技术学院计算机数字逻辑设计 实验报告书 实验名组合逻辑电路数据选择器实验 班级_____计嵌151_______________ 姓名_____张耀_____________________ 指导教师顾晖 日期 2016-11-03

目录 实验一组合逻辑电路数据选择器实验 (1) 1.实验目的 (1) 2.实验用器件和仪表 (1) 3.实验内容 (1) 4.电路原理图 (1) 5.实验过程及数据记录 (2) 6.实验数据分析与小结 (9) 7.实验心得体会 (9)

实验三组合逻辑电路数据选择器实验 1 实验目的 1. 熟悉集成数据选择器的逻辑功能及测试方法。 2. 学会用集成数据选择器进行逻辑设计。 2 实验用器件和仪表 1、8 选 1 数据选择器 74HC251 1 片 3 实验内容 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用 3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的电路。 4 电路原理图 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用

3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的 电路。 5 实验过程及数据记录 1、基本组合逻辑电路的搭建与测量 用 2 片 74LS00 组成图 3.1 所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

图 3.1 组合逻辑电路 (2)先按图 3.1 写出 Y1、Y2 的逻辑表达式并化简。 Y1==A·B ·A =A + A·B=A + B Y2=B·C ·B·A = A · B+ B ·C (3)图中 A、B、C 接逻辑开关,Y1,Y2 接发光管或逻辑终端电平显示。(4)改变 A、B、C 输入的状态,观测并填表写出 Y1,Y2 的输出状态。 表 3.1 组合电路记录

3组合逻辑电路习题解答

3组合逻辑电路习题解答 33 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

组合逻辑电路的设计题目

1、在一旅游胜地,有两辆缆车可供游客上下山,请设计一个控制缆车正常运行的逻辑电路。要求:缆车A和B在同一时刻只能允许一上一下的行驶,并且必须同时把缆车的门关好后才能行使。设输入为A、B、C,输出为Y。(设缆车上行为“1”,门关上为“1”,允许行驶为“1”) (1) 列真值表;(4分) (2)写出逻辑函数式;(3分) (3)用基本门画出实现上述逻辑功能的逻辑电路图。(5分) 解:(1)列真值表:(3)逻辑电路图: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数式: 2、某同学参加三类课程考试,规定如下:文化课程(A)及格得2分,不及格得0分;专业理论课程(B)及格得3分,不及格得0分;专业技能课程(C)及格得5分,不及格得0分。若总分大于6分则可顺利过关(Y),试根据上述内容完成: (1)列出真值表; (2)写出逻辑函数表达式,并化简成最简式; (3)用与非门画出实现上述功能的逻辑电路。 (3)逻辑电路图 A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数表达式3、中等职业学校规定机电专业的学生,至少取得钳工(A)、车工(B)、电工(C)中级技能证书的任意两种,才允许毕业(Y)。试根据上述要求:(1)列出真值表;(2)写出逻辑表达式,并化成最简的与非—与非形式;(3)用与非门画出完成上述功能的逻辑电路。 解:(1(3)逻辑电路: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑表达式: 最简的与非—与非形式: 4、人的血型有A、B、AB和O型四种,假定输血规则是:相同血型者之间可输出,AB血型者可接受其他任意血型,任意血型者可接受O型血。图1是一个输血判断电路框图,其中A1A0表示供血者血型,B1B0表示受血者型,现分别用00、01、10和11表示A、B、AB和O四种血型。Y 为判断结果,Y=1表示可以输血,Y=0表示不允许输血。请写出该判断电路的真值表、最简与—或表达式,并画出用与非门组成的逻辑图。 输血判断电路框图: 解:(1)真值表:(3)逻辑图: 输入输出 A1A0B1B0Y 0000 0001 0010 0011

3组合逻辑电路习题解答71436

自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 B A F = B .0101B B A A F ++= D .0011B A B A F ++=

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

组合逻辑电路习题答案

第3章 组合逻辑电路 试分析图所示组合逻辑电路的逻辑功能,写出逻辑函数式,列出真值表,说明电路完成的逻辑功能。 (b) (c) (a)A B C D L =1 =1 =1 C 2 L 1L 2L 3 图 题图 解:由逻辑电路图写出逻辑函数表达式: 图a :D C B A L ⊕⊕⊕= 图b :)()(21B A C AB B A C AB L C B A L ⊕+=⊕=⊕⊕= 图c :B A B A L B A A B B A B A L B A B A L =+=+=+++==+=321 由逻辑函数表达式列写真值表: A B C D L 0 0 0 0 00 0 0 1 10 0 1 0 10 0 1 1 00 1 0 0 10 1 0 1 00 1 1 0 00 1 1 1 11 0 0 0 11 0 0 1 01 0 1 0 01 0 1 1 11 1 0 0 01 1 0 1 11 1 1 0 11 1 1 1 0 A B C L 1L 2 0 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1 A B L 1L 20 0 0 1 0L 3 0 1 0 0 11 0 1 0 01 1 0 1 0 由真值表可知:图a 为判奇电路,输入奇数个1时输出为1;图b 为全加器L 1为和,L 2为进位;图c 为比较器L 1为1表示A>B ,L 2为1表示A=B, L 3为1表示A

数电组合逻辑电路练习题

数电组合逻辑电路练习题 一、填空题 1. 如果对键盘上108个符号进行二进制编码,则至少要 位二进制数码2. C A AB Y +=,Y 的最简与或式为 。 3. TTL 电路如图1,电路的逻辑表达式F 。 图 1 4.四输入TTL 或非门,在逻辑电路中使用时,有2个输入端是多余的,应将多余端接 。 5. 在TTL 、CMOS 逻辑族中,在电源电压值相同时,噪声容限大的是_______________. 6.F=A B +BD+CDE+A D 最简的与或式是_______________. 7.试将函数F A B C AC BC AC A B AB (,,)()=++++,简化成与或表达式F =_____________. 8. 请分析图示TTL 器件组成的电路,填写所列的真值表. 9.请写出下图S 的表达式 。CO 的表达式 。

1. 7 ,2.AC AB +, 3.A+B , 4.接地, 接低电平或并联使用, 5.CMOS 逻辑;6. D B A +;7. F =C B +; 9.S=B A ⊕ ;CO=AB 二、是非题 (注:请在每小题后用"√"表示对,用"×"表示错) 1.图1TTL 电路逻辑表达式F=A 。 图 2.图2电路输出函数 F =B A + 。 3. 凡是用与非门构成的逻辑电路一定是组合电路。 4. CMOS 门的输出结构和TTL 的类似,可以分成标准的、漏极开路及3态输出三种 。 5. 十进制是7,它的8421BCD 码是0111。 6. 如果与非门输入端均为高电平,那么它所带的是灌电流负载 。 7. 一个16选一的数据选择器,其地址输入(选择控制输入)端有16。 8.当与非门两个输入端AB 的状态由00→11时,将可能产生竞争冒险。 9. 若有变量均为A 、B 、C 、D 的两个逻辑函数F 和G ,且有F +G =1的关系,则F =1-G 的等式成立。 10. 对于共阳接法的发光二极管数码显示器,应采用高电平驱动的七段显示译码器。 1. ×; 2. ×; 3. × ; 4. √; 5. √; 6. √; 7. ×; 8. ×; 9. ×;10. ×; DD F A B

实验三 组合逻辑电路的设计(一)

实验三组合逻辑电路的设计(一) 一、实验目的 1.掌握用SSI器件设计组合逻辑电路的方法; 2.熟悉各种常用MSI组合逻辑电路的功能与使用方法; 3.掌握多片MSI组合逻辑电路的级联、功能扩展; 4.学会使用MSI逻辑器件设计组合电路; 5.培养查找和排除数字电路常见故障的初步能力。 二、实验器件 1.74LS00 四二输入与非门74LS20 双四输入与非门 2.74LS138 三线—八线译码器74LS139 双二线—四线译码器 三、实验原理 组合逻辑电路是最常见的逻辑电路,其特点是在任何时刻电路的输出信号仅取决于该时刻的输入信号,而与信号作用前电路原来所处的状态无关。组合逻辑电路的设计,就是如何根据逻辑功能的要求及器件资源情况,设计出实现该功能的最佳电路。 在采用小规模器件(SSI)进行设计时,通常将函数化简成最简与—或表达式,使其包含的乘积项最少,且每个乘积项所包含的因子数也最少。最后根据所采用的器件的类型进行适当的函数表达式变换,如变换成与非—与非表达式﹑或非—或非表达式﹑与或非表达式及异或表达式等。 在数字系统中,常用的中规模集成器件(MSI)产品有编码器﹑译码器﹑全加器﹑数据选择/分配器﹑数值比较器等。用这些功能器件实现组合逻辑函数,基本采用逻辑函数对比方法。因为每一种中规模集成器件都具有某种确定的逻辑功能,都可以写出其输出和输入关系的逻辑函数表达式。在进行设计时,可以将要实现的逻辑函数表达式进行变换,尽可能变换成与某些中规模集成器件的逻辑函数表达式类似的形式。 下来我们介绍一下使用中小规模器件设计组合逻辑电路的一般方法。 四、组合电路设计原则及其步骤 组合电路的设计是由给定的的逻辑功能要求,设计出实现该功能的逻辑电路,设计过程大致按下列步骤进行: (1)分析设计要求,把用文字描述的形式的设计要求抽象成输入、输出变量的逻辑关系;(2)根据分析出的逻辑关系,通过真值表或其他方式列出逻辑函数表达式; (3)根据题目提供给你的芯片,将逻辑函数化简到所需要的函数式; (4)画出逻辑电路图或电路原理图; 对于MSI组合逻辑电路的设计是以所用MSI个数最少、品种最少,同时MSI间的连线也最少作为最基本的原则。 下面使用中小规模器件我们各举一个例子: 例:用与非门设计三位多数表决器。 步骤:(1)根据真值表写出逻辑表达式: F = AB + BC + AC = AB BC AC (2)画出逻辑图,如图3—1所示

组合逻辑电路设计心得体会

组合逻辑电路设计心得体会篇一:实验一_组合逻辑电路分析与设计 实验1 组合逻辑电路分析与设计 XX/10/2 姓名:学号: 班级:15自动化2班 ? 实验内容................................................. .. (3) 二.设计过程及讨论 (4) 1.真值表................................................. .(转载于: 小龙文档网:组合逻辑电路设计心得体会)................4 2.表达式的推导................................................. .....5 3.电路图................................................. .................7 4.实验步骤................................................. .............7 5. PROTEUS软件仿真 (9)

三测试过程及结果讨论.....................................11 1.测试数据................................................. ...........11 2.分析与讨论................................................. . (13) 四思考题................................................. (16) 实验内容: 题目: 设计一个代码转换电路,输入为4位8421码输出为4位循环码(格雷码)。 实验仪器及器件: 1.数字电路实验箱,示波器 2.器件:74LS00(简化后,无需使用,见后面) 74LS86(异或门),74LS197 实验目的: ①基本熟悉数字电路实验箱和示波器的使用 ②掌握逻辑电路的设计方法,并且掌握推导逻辑表达式的方法 ③会根据逻辑表达式来设计电路 1.真值表:

第4章_组合逻辑电路习题解答

习题 写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。 习题图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 分析图所示电路,写出输出函数F 。 习题图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟. 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。 (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 习题图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) A C & & & & L B A =1 =1 =1 F F A B F B A

(3)根据真值表可知,四个人当中C 的权利最大。 分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。 习题图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 (2) A 10

电路逻辑功能为:“判输入ABC 是否相同”电路。 已知某组合电路的输入A 、B 、C 和输出F 的波形如下图所示,试写出F 的最简与或表达式。 习题图 解:(1)根据波形图得到真值表: C AB BC A C B A F ++= 、设∑= )14,12,10,9,8,4,2() ,,,(m D C B A F ,要求用最简单的方法,实现的电路最简单。 1)用与非门实现。 2)用或非门实现。 3) 用与或非门实现。 解:1) (1)将逻辑函数化成最简与或式并转换成最简与非与非式。 F C B A F

实验三 组合逻辑电路教学文案

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图3.1所示。 图3.1 组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.2所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。 图3.2 74LS00引脚排列及内部逻辑结构 2.二四输入与非门74LS20

74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.3所示。它共有两个独立的四输入“与非”门,每个门的构造和逻辑功能相同。 图3.3 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.4所示。它共有四个独立的二输入“异或”门,每个门的构造和逻辑功能相同。 图3.4 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表3.1。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图3.5所示。

组合逻辑电路的设计

\ 广州大学学生实验报告 开课学院及实验室:电子信息楼410 2013年5月20日 学院 机械与电气 工程学院 年级、专 业、班 11级电气1班姓名·学号 实验课程名 称 数字电子技术实验成绩 实验项目名称; 实验二设计性实验——组合逻辑电路的设计 指导 老师 一、实验目的 1、学习组合逻辑电路的设计方法; 2、掌握使用通用逻辑器件实现逻辑电路的一般方法。 二、实验原理 使用中、小规模集成电路来设计组合电路时最常见的逻辑电路设计方法。设计的过程通常是根据 给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成 的工作。 , 组合逻辑电路的设计工作通常可按如下步骤进行。 (1)进行逻辑抽象 (2)写出逻辑函数式 (3)选定器件的类型 (4)将逻辑函数化简或变换成适当形式 (5)根据化简或变换后的逻辑函数式画出逻辑电路的连接图 (6)工艺设计 例设计一个监视交通信号灯工作状态的逻辑电路。每一组信号灯由红、黄、绿3盏灯组成,如图 3-22所示。正常工作情况下,任何时刻必有一盏灯亮,而且只允许有一盏灯亮。而当出现其他5种 点亮状态时,电路发生故障,这是要求发出故障信号,以提醒维护人员前去修理。 { 首先进行逻辑抽象。 取红、黄、绿3盏灯的状态为输入变量,分别用R、Y、G表示,并规定灯亮时为1,不亮为0。取故 障信号为输出变量,以Z表示,并规定正常工作状态下Z=0,发生故障时Z=1。更具题意可列出表3-9 所示的逻辑真值表。 表3-9真值表 R Y G Z\ R Y G Z 000[ 1 1000 00| 1 01011 0{ 1 001101

组合逻辑电路习题解答

( 有些题答案错了 )自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出0 7 Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、

B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达 式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器

组合逻辑电路练习题及答案.doc

组合逻辑电路练习题及答案 一.填空题(10) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实现,但逻辑函数的一般表达式不是唯一的,而其标准表达式是唯一的。 2.任意两个最小项之积为0,任意两个最大项之和为1。 3.对于逻辑函数BC F+ =,为了化简,利用逻辑代数的基本定理,可表示为C + C A AB =,但这 F+ AB A 可能引起0型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A+。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为39。 5.在3.3V供电的数字系统里,所谓的高电平并不是一定是3.3V,而是有一个电压范围,我们把这个电压范围称为高电平容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平容限。 二.选择题(10) 1.在下列程序存储器的种类中,可在线改写的有 b d。 a. PROM; b. E2PROM; c. EPROM; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实现的空间密度最小、能耗最低、能得到普及应用的实现方式是d。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是befgi ,为时序逻辑电路的是acdh。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 4.卡诺图上变量的取值顺序是采用b的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 5.在可编程逻辑芯片中,有PROM、PAL、GAL、CPLD等多种结构方式,其中PROM是b,PAL 是c,GAL是a,CPLD是a。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三.简答题(50) 1.分别画出JK和D触发器的电路符号图,并分别画出将JK触发器转换成D触发器以及将D触发器转换成JK触发器的电路连接图。 1

实验一组合逻辑电路设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

第3章组合逻辑电路1

第 3章 组合逻辑电路 逻辑电路按照逻辑功能的不同可分为两大类:一类是组合逻辑电路(简称组合电路), 另一类是时序逻辑电路(简称时序电路)。所谓组合电路是指电路在任一时刻的输出状态只与同一时刻各输入状态的组合有关,而与前一时刻的输出状态无关。组合电路的示意图如图所示。组合逻辑电路的特点: (1) 输出、输入之间没有反馈延迟通路。 (2) 电路中不含记忆元件。 图 组合电路示意图 组合逻辑电路的分析方法和设计方法 (1)3.1.1组合逻辑电路的分析方法 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计 是否合理。 组合逻辑电路的分析步骤如下: (1) 根据已知的逻辑图, 从输入到输出逐级写出逻辑函数表达式。 (2) 利用公式法或卡诺图法化简逻辑函数表达式。 (3) 列真值表, 确定其逻辑功能。 例 1 分析如图所示组合逻辑电路的功能。 解(1) (2)化简 (3) 例真值表:如表3·1所示 图 例 1 的逻辑电路 X 1X 2 X n 12 m 输入信号 输出信号 AC BC AB Y ??=AC BC AB Y ++= A B B C A C Y

表例1的真值表 由表可知,若输入两个或者两个以上的1(或0), 输出Y为1(或0), 此电路在实际应用中可作为多数表决电路使用。 例 2分析如图所示组合逻辑电路的功能。 解(1) 写出如下逻辑表达式: (2) 化简AB Y= 1 AB A Y A Y? = ? = 1 2 B AB B Y Y? = ? = 1 3 B AB AB A Y Y Y? ? = = 3 2 B AB AB A Y? ? = ) ( ) (B AB AB A+ ? + = AB B A+ = B A⊕ =

组合逻辑电路的设计

组合逻辑电路的设计 一.实验目的 1、加深理解组合逻辑电路的工作原理。 2、掌握组合逻辑电路的设计方法。 3、掌握组合逻辑电路的功能测试方法。 二.实验器材 实验室提供的芯片:74LS00与非门、74LS86异或门,74LS54与或非门,实验室提供的实验箱。 三.实验任务及要求 1、设计要求 (1)用与非门和与或非门或者异或门设计一个半加器。 (2)用与非门和与或非门或者异或门设计一个四位奇偶位判断电路。 2、实验内容 (1)测试所用芯片的逻辑功能。 (2)组装所设计的组合逻辑电路,并验证其功能是否正确。 三.实验原理及说明 1、简述组合逻辑电路的设计方法。 (1)分析实际情况是否能用逻辑变量来表示。 (2) 确定输入、输出逻辑变量并用逻辑变量字母表示,作出逻辑规定。 (3) 根据实际情况列出逻辑真值表。 (4) 根据逻辑真值表写出逻辑表达式并化简。 (5) 画出逻辑电路图,并标明使用的集成电路和相应的引脚。 (6) 根据逻辑电路图焊接电路,调试并进一步验证逻辑关系是否与实际情况相符。 2、写出实验电路的设计过程,并画出设计电路图。 (1)半加器的设计 如果不考虑有来自低位的进位将两个1位二进制数相加。 A、B是两个加数,S是相加的和,CO是向高位的进位。 逻辑表达式 S=A’B+A’B=A⊕B CO=AB (2)设计一个四位奇偶位判断电路。 当四位数中有奇数个1时输出结果为1;否则为0。 A, B, C, D 分别为校验器的四个输入端,Y时校验器的输出端

逻辑表达式 Y=AB’C’D’+A’BC’D’+A’B’C D’+A’B’C’D+A’BCD+AB’CD+ABC’D+ABCD’ =(A⊕B)⊕(C⊕D) 四.实验结果 1、列出所设计电路的MULTISM仿真分析结果。 (1)半加器的设计,1-A被加数,2-B加数,XMMI(和数S)XMM2(进位数CO) (2)设计一个四位奇偶位判断电路。

实验一组合逻辑电路设计

电子信息工程刘晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个邮件优先级区分器。该公司收到有A,B,C,三类邮件,A,类的优先级最高,B类次之,C类最低。邮件到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的邮件同时到达时,对优先级最高的邮件先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验内容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数

组合逻辑电路的设计实验报告

中国石油大学现代远程教育 电工电子学课程实验报告 所属教学站:青岛直属学习中心 姓名:杜广志学号: 年级专业层次:网络16秋专升本学期: 实验时间:2016-11-05实验名称:组合逻辑电路的设计 小组合作:是○否●小组成员:杜广志 1、实验目的: 学习用门电路实现组合逻辑电路的设计和调试方法。 2、实验设备及材料: 仪器:实验箱 元件:74LS00 74LS10 3、实验原理: 1.概述 组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路过去状态无关。因此,组合电路的特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单的组合逻辑电路。 组合逻辑电路的输入信号和输出信号往往不止一个,其功能描述方法通常有函数表达式、真值表、卡诺图和逻辑图等几种。 组合逻辑电路的分析与设计方法,是立足于小规模集成电路分析和设计的基本方法之一。 2.组合逻辑电路的分析方法 分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。 分析的步骤: (1)逐级写出逻辑表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。 (2)化简。 (3)列出真值表。 (4)文字说明 上述四个步骤不是一成不变的。除第一步外,其它三步根据实际情况的要求而采用。 3.组合逻辑电路的设计方法 设计的任务是:由给定的功能要求,设计出相应的逻辑电路。 设计的步骤; (1)通过对给定问题的分析,获得真值表。 在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量之间的逻辑关系问题,其输出变量之间是否存在约束关系,从而获得真值表或简化

实验三 组合逻辑电路

实验三组合逻辑电路

实验三 组合逻辑电路 一、实验目的 1. 通过简单的组合逻辑电路设计与调试,掌握采用小规模(SSI )集成电路设计组合逻辑电路的方法。 2. 用实验验证所设计电路的逻辑功能。 3. 熟悉、掌握各种逻辑门的应用。 二、实验原理 组合逻辑电路是最常见的逻辑电路之一,可以用一些常用的门电路来组合成具有其他功能的门电路。组合逻辑电路在逻辑功能上的特点是任意时刻的输出仅仅取决于该时刻的输入,而与电路过去的状态无关。在电路结构上的特点是只包含门电路,而没有存储(记忆)单元。在使用中、小规模集成电路来设计组合电路时,一般步骤如图3-1所示: 1. 进行逻辑抽象,首先根据设计任务的要求建立输入、输出变量,列出其真 设计要求 真值表 逻辑抽象逻辑表达式 卡诺图 最简逻辑表达式 逻辑电路图 代数法化减 卡诺图法化减

值表。 2. 用卡诺图或代数法化简,求出最简逻辑表达式。 3. 根据简化后的逻辑表达式,画出逻辑电路图。 若已知逻辑电路,欲分析组合电路的逻辑功能,则分析步骤为: 1. 由逻辑电路图写出各输出端的逻辑表达式。 2. 由逻辑表达式列出真值表。 3. 根据真值表进行分析,从而确定电路功能。 组合电路的设计过程是在理想情况下进行的, 即假设一切器件均没有延迟效应。图3-1 组合逻辑电路设计流程图 三、实验仪器及器件 1. EL-ELL-Ⅳ型数字电路实验系统 2. 集成电路芯片:74LS00 1

2 74LS04 74LS86等 四、实验内容及步骤 1. 测试用异或门和与非门组成的半加器的逻辑功能 如果不考虑来自低位的进位而能够实现将两个1位二进制数相加的电路,称为半加器,半加器的符号如图3-2所示。 半加器的逻辑表达式为: AB CO B A B A B A S =⊕=+= 根据半加器的逻辑表达式可知,半加和S 是输入A 、B 的异或,而进位CO 则为输入A 、B 相与,故半加器可用一个集成异或门和二个与非门组成,电路如图3-3所示。 &=1 1 CO S ΣCO A B S CO 图3-2 半加器符号 图3-3 异或门和与非门组成的半加器逻辑电路 在实验仪上用74LS00及74LS86按图3-3 接线,当输入端A 、B 为表3-1所列状态时,测

相关文档
相关文档 最新文档