文档库 最新最全的文档下载
当前位置:文档库 › 哈尔滨工业大学2010电气考研数电部分

哈尔滨工业大学2010电气考研数电部分

哈尔滨工业大学2010电气考研数电部分
哈尔滨工业大学2010电气考研数电部分

2020年东北大学考研招生简章

根据教育部《东北大学关于选拔普通高校优秀考生进入研究生阶段学习的通知》文件精神,结合学校实际,对普通高校毕业生进入硕士阶段学习提出如下要求。 一、报考事项安排 1.每年报考我校的考生很多,要早复习,早准备。按照考试范围复习。 2.我校考生,到学校考试中心,办理内部试卷。 3.每年有很多考生,不知道考试重点范围,不知道考试大纲要求,盲目复习,浪费时间和精力,复习效果很差,影响考试。 4.每年有很多考生,选择错误的复习资料,解题思路及讲解答案都是错误的,具有误导性,不利于复习。 5.学校为考生正确复习,印刷内部试卷。 6.内部试卷:包含考试范围、历年真题、考试题库、内部复习资料。 7.专业课,学校出题。一定要按照内部试卷复习,每年都有原题出现。 8.内部试卷联系QQ363.916.816张老师。学校安排邮寄,具体事项联系张老师。 二、选拔对象条件 1.普通高校本科毕业生,主干课程成绩合格,在校学习期间未受到任何纪律处分。 2.身体健康状况符合国家和学校规定的体检要求。 三、招生专业计划 1.招生要求和专业,详见《教育部选拔普通高等学校本科毕业生进入硕士阶段学习招生及专业总表》。 2.学校计划招收全日制硕士研究生和非全日制硕士研究生,《硕士学位研究生招生专业目录》公布的拟招生人数(含推免生),实际招生人数将根据国家下达我校招生计划、各专业生源情况进行适当调整。我校部分专业将另设计划用于接收调剂生,具体专业及拟招生人数将在初试成绩公布后另行公布。 四、报名资格审核 1.报考考生按照《教育部选拔普通高等学校优秀毕业生进入研究生阶段学习专业对照及考试课程一览表》以下简称《专业对照及考试课程一览表》选择报考专业,并填写《教育部普通高等学校毕业生进入研究生阶段

双控大学排名

1 清华大学A+ 1 2 西安交通大学A 2 3 广东工业大学A 2 上海交通大学A+ 1 3 同济大学A 2 4 中国科学技术大学A 3 华中科技大学A+ 1 4 华东理工大学A 2 5 北京大学A 4 东北大学A+ 1 5 西北工业大学A 2 6 江南大学A 5 浙江大学A+ 1 6 湖南大学A 2 7 兰州理工大学A 6 北京航空航天大学A+ 1 7 华南理工大学A 2 8 天津大学A 7 中南大学A+ 18 重庆大学A 29 华北电力大学A 8 东南大学A+ 19 哈尔滨工程大学A 30 北京化工大学A 9 哈尔滨工业大学A 20 南京理工大学A 31 大连海事大学A 10 山东大学A 21 北京科技大学A 32 吉林大学A 11 北京理工大学A 22 上海大学A B+等(47个):浙江工业大学、河北工业大学、东华大学、大连理工大学、南京航空航天大学、燕山大学、江苏大学、西安理工大学、北京交通大学、厦门大学、郑州大学、中国石油大学、中国矿业大学、武汉科技大学、杭州电子科技大学、南开大学、电子科技大学、合肥工业大学、北京工业大学、山东科技大学、重庆邮电大学、兰州交通大学、沈阳工业大学、武汉大学、西安电子科技大学、河南理工大学、河海大学、南京师范大学、武汉理工大学、南京工业大学、广西大学、青岛大学、西华大学、四川大学、长春工业大学、内蒙古科技大学、天津工业大学、太原理工大学、哈尔滨理工大学、安徽工业大学、北京邮电大学、苏州大学、南京邮电大学、河南科技大学、中国海洋大学、河南大学、江苏科技大学 B等(47个):青岛科技大学、上海海事大学、南通大学、西安建筑科技大学、鞍山科技大学、长沙理工大学、福州大学、新疆大学、河北大学、安徽理工大学、湖南科技大学、辽宁工程技术大学、天津科技大学、沈阳理工大学、长安大学、黑龙江大学、扬州大学、三峡大学、辽宁石油化工大学、东北电力大学、西南交通大学、湖南工业大学、河北理工大学、西南科技大学、河北科技大学、辽宁工业大学、太原科技大学、西安科技大学、昆明理工大学、东北林业大学、北方工业大学、湖北工业大学、济南大学、山东轻工业学院、华东交通大学、陕西科技大学、曲阜师范大学、南昌航空工业学院、渤海大学、深圳大学、广西工学院、内蒙古工业大学、山东建筑大学、中国地质大学、南昌大学、天津理工大学、郑州轻工业学院

哈工大数字电路设计加减乘三则计算器

哈工大数字电路设计加减乘三则计算器

————————————————————————————————作者:————————————————————————————————日期:

H a r b i n I n s t i t u t e o f T e c h n o l o g y 数字电子技术基础大作业 课程名称:数字电子技术基础Ⅱ 设计题目:四位二进制计算器 院系: 班级: 设计者:宇之翔LEO 学号: 指导教师: 设计时间:2015年12月-2016年1月 作者声明:本大作业是本人在考试之前花费大量时间完成的,之前在网上也可以相关的文章和做法,但是水平不是很高,而且存在很多错误,我在参考的时候也受到许多误导,最终在本人汇总和潜心钻研后总结出一篇较完整、较准确的文章。考虑到我完成作业时受到的各种辛苦,特将这份资料分享给大家。仅供参考,有问题可以给我提!由于含有本人大量的心血,所以需要的劵也偏高,希望各位理解!完成作业对你们的帮助更是巨大的,希望对你们有所帮助,解决令人头疼的作业! 另外,目前除法计算器的电路设计过于复杂且难度较高,尚无法完成,资料也查阅不到,有完成者可以与本人交流! 哈尔滨工业大学

一、设计目的和要求 本次大作业是在学完本门课程后,对所学知识的综合性考察和对思维的锻炼。通过本次作业,灵活运用学过的数字电子元器件和数字电子技术等方面的知识,完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程。 本次作业我选择设计四位二进制的计算器,使用Multisim 软件进行设计和仿真,最终实现四位二进制数的加、减、乘的目的,并能够通过数码显示管将输入的数字和输出的运算结果显示出来。 二、设计方案 1.设计综述 此计算器分加减区和乘法区,通过开关选择运算方式,选择加减法区,则乘法区的数码管为清零状态,通过控制开关置数,在加减法区数码管显示输入和运算结果:选择乘法区,则加减法区的数码管为清零状态,通过控制开关置数,在乘法区数码管显示输入和运算结果。在加减法区中,通过开关选择加、减运算方式,分别在数码管中显示输入和运算结果。 2. 系统方框图 置数 置数 三、各部分计算器电路的设计和仿真 1.加法计算器器电路 如图1所示,这是加法器最简单的电路,也是本次设计的核心电路部分。 图1 加减 乘法 加减法运算 加法 减法 乘法区 显示输加减法区显示

哈工大 电工大作业

电子技术课程设计一评分:数字显示电子钟 班级: 学号: 姓名: 日期:2015年月日 一、题目:数字显示电子钟 二、设计要求:

1) LED数码管显示小时、分、秒; 2)可以快速校准小时、分;秒计时可以校零; 3)最大显示为23小时59分59秒; 4)秒脉冲信号由1MHz信号经分频器产生; 三、电气原理图 上图为时钟电路总图,电路由秒时钟信号发生器、计时电路和校时电路构成。1)时钟信号发生器部分如下图所示;output端输出1Hz脉冲信号,其为上图中方波脉冲发生电路; 2)计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;用数码管显示时间的译码结果; 3)校时电路采用开关控制秒时钟信号为校时脉冲以完成校时。

四、各功能块的原理说明 1)秒计时器及秒计时校零部分 由一个十进制计数器和一个六进制计数器串联而成为六十进制计数器。在电路设计中采用是74LS161反馈预置法来实现十进制与六进制功能。 图片底部按钮为秒计时校零按钮,按下按钮时,通过与门将LOAD 端置零实现秒计时器的置零

2)分、时计时器及校准部分 时计时器是由两片74LS161级联而成的二十四进制的计数器,分计时器是由两片74LS161级联而成的六十进制的计数器,采用的是反馈复位法。图片中两个计时器下部为时、分校准按钮,按动按钮相当于提供手动的脉冲,通过按动按钮,实现两个计数器的示数的改变,进而实现时间的校准。 3)秒时钟信号发生器 如图为秒脉冲信号发生器,由分频器6次分频1MHz信号产生秒脉冲,每次1/10分频,电路左上角为1MHz信号输入,output为1Hz信号输出

东北大学考研过来人考研经验

东北大学考研过来人考研经验 对于今年考研,已经考上人还心有余悸,没考上的人纠结于调剂和二战之中,准备下水的新选手内心充满好奇,正准备辞职的同学正在徘徊不定。作为一个辞职考研并且经历二战的人,上面的四种情况我都经历过,经验不敢提但是教训还是有一些的。在这里和大家分享一下,希望能得到大家的共鸣,对后面的同学有所帮助。 先说一说我的基本情况吧。本校毕业,毕业后直接去一个钢厂工作,后辞职考研,总分过分数线11分,但是数学只有可怜的48分,很无奈地选择了调剂,从沈阳赶往昆明理工去复试,回家后发现学校说要录取我,让我确认。在经过激烈的思想斗争后决定放弃去昆明选择二战。今年卷土重来,分数依然不是很高但是总算是够用了,算是勉勉强强地考上了。 初试没过线的感觉我是知道的,撕心裂肺,彻夜不眠,不想还好一想就胸闷,很迷茫而且一天什么也不想干。对于调剂这么繁琐的事情更是懒得去想,心情稍有好转在周围人的劝说下还是搜集调剂学校,但是面对可能调剂的学校的自己第一志愿所报学校的差距,心情又掉到谷底,当初我的想法是学校远还不是重点,就业也不知道怎么样,干脆糊弄一下得了,爱录不录,不录就算了。然后开始找工作,每次招聘会都是满怀希望的去垂头丧气地出来,如此几次之后我发现了一个我不得不面对的现实,除了调剂之外我现在真的是没有其他选择了,不去也得去了要不就流落街头了。对于正在经历这个过程的童鞋,我感觉不管你现在多失望,但是除了认真准备复试好像也没有其他的正经事可做。认真准备了没考上最起码不留遗憾,但如果你现在消极对待,万一以后混得不好回想起来你会后悔很多年。 对于应不应该二战,这个要看具体情况了。第二年考研,并不是简单地重复第一年,在第一年的基础上还会有很多其他的困难。 一、自己对自己的怀疑,总是在想自己的选择是否正确,而且越是到复习后期和复习不顺利的时候越明显; 二、长期的游离于社会编制之外的折磨,总是摆脱不了校漂和无业游民的影子; 三、孤独感,漫长复习时间往往好几天都不张嘴说话,学习学累了就站在走廊的窗户旁向外看,看够了就回屋学习,看着其他教师下课的同学三三两两的说笑回寝室,自己只有羡慕的份,而且就算是有研友也不会有什么改善,只不过是另外一个人把他的孤独感传染给你罢了,再说两个人天天学习也没什么可说的; 四、枯燥,这个好理解,而且这个是考研的最重要特征之一; 五、漫长,二战的人普遍复习比较早,一般在四五月份就开始了,去年从九月开始复习到一月时折腾的受不了了,今年时间延长一倍那将是什么感受自己体会吧; 六、同期毕业的同学们要么每个月几千块钱的工资领着要么过着稳稳当当的研究生生活,对他么你羡慕不已,发自内心地羡慕,而且这种羡慕会让你觉得慢来就很枯燥的复习生活变得更加漫长;

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

新版东北大学数学考研经验考研真题考研参考书

一年就这样过去了,内心思绪万千。 一年很短,备考的经历历历在目,一年很长,长到由此改变了一个人的轨迹,并且成就一个梦想。回忆着一年的历程,总想把它记录下来,希望可以给还在考研道路上奋斗的小伙伴们一点帮助。 考研是一个非常需要坚持的过程,需要你不断坚持和努力才能获得成功,所以你必须要想清楚自己为什么要考研,这一点非常重要,因为只有确认好坚定的动机,才能让你在最后冲刺阶段时能够坚持下来。 如果你只是看到自己周围的人都在考研而决定的考研,自己只是随波逐流没有坚定的信心,那么非常容易在中途就放弃掉了,而且现在考研非常火热,这就意味着竞争也会非常激烈,而且调剂的机会都会非常难得,所以备考时的压力也会比较大,所以大家一定要调整好心态,既不能压力太大,也不能懈怠。 既然选择了,就勇敢的走下去吧。 考研整个过程确实很煎熬,像是小火慢炖,但是坚持下来,你就会发现,原来世界真的是美好的。 文章整体字数较多,大家可视自己情况阅读,在文章末尾我也分享了自己备考过程中的资料和真题,大家可自行下载。 东北大学数学的初试科目为: (101)思想政治理论(201)英语一或(240)二外德语 (618)数学分析和(814)高等代数 参考书目为: 1.《数学分析》陈传璋,高等教育出版社,2004年 2.《实变函数与泛函分析》(1-3章),宋叔尼,科学出版社,2007年

3.《高等代数》(1-9章),北京大学数学系,高等教育出版社,2003年 4.《近世代数》(1-2章),杨子胥,高等教育出版社,2003年 跟大家先说一下英语的复习吧。 学英语免不了背单词这个难关,词汇量上不去,影响的不仅是考试成绩,更是整体英语能力的提升;背单词也是学习者最感到头痛的过程,不是背完了转身就忘,就是背的单词不会用,重点单词主要是在做阅读的时候总结的,我把不认识不熟悉的单词全都挑出来写到旁边,记下来反复背直至考前,总之单词这一块贵在坚持,背单词的日程一定要坚持到考研前一天。 因此,学会如何高效、科学地记忆词汇,养成良好的记单词习惯,才能达到事半功倍的学习效果,我用的是《木糖英语单词闪电版》,里面的高频词汇都给列出来了,真的挺方便的,并且刷真题我用的《木糖英语真题手译》这本书,我感觉对我帮助特别大,里面的知识点讲解的通俗易懂,而且给出的例子都很经典,不容易忘记。 前期,在这段时间最重要的是积累,也就是扩充自己的词汇量,基础相对差一些的同学可以背考研单词,而基础相对好一些的同学考研单词相对于你来说就会比较简单,这时就不必浪费时间,可以进行外刊阅读。由于考研英语阅读的文章全部都是从外刊中摘录的,所以进行外刊阅读就可以把其当作“真题”的泛读。 中期,在期末考试和小学期结束之后就要开始做真题了,我从最早的那年开始一路做下来,留了三套考前模拟,大概是有二十多套。我一般会第一天做一套然后后面花1~2天的时间对文章进行精读及分析错误原因。早些年的英语出题有相当难度,考察的有不少都是很复杂的句式及熟词僻义,这与近几年的考察角度是完全不同的,所以我建议时间不多的同学完全可以放弃早些年的真题,然后

2019年东北大学材料工程考研经验分享

东北大学材料工程考研经验 一.东北大学材料工程考研情况 东北大学材料工程近几年计划都在200人左右,其中保研占比很小可忽略,而报考人数在500左右,所以竞争压力不是很大,结合考试难度来说东北大学材料工程(专硕)是性价比极高的一个选择。去年由于数学难度上升和专业课变动较大,最高分为378,而往年400有不少,往年340稳上,去年校线300以上都要,就这样招生计划也没有完成,300以上只有140人。东北大学地处沈阳,东北第一大城市,与中科院金属所有紧密合作(联合培养),东大的材料偏向黑色金属多一点,但近年来方向越来越多,逐渐在打破这种只玩钢铁的外界思维定势。东大材料院坐拥ral(轧制技术与连轧自动化国家重点实验室)。金属材料类同学的考研方向一般为中南,北科,东北大学等。不得不说东北大学是性价比之王,考研是打成功率,看谁能上,择校相当重要。如果学弟学妹们觉得不是很把握的话,可以在新祥旭报个一对一的辅导班,专业课是东北大学的研究生学长讲的,学长专业课成绩非常好,专业课讲的比较好的,上课效果很好,我也报过,是新祥旭安排的这个学长的辅导下成功考上东北大学的。 二.初试 1.参考书目及专业课相关资料 初试是英语二,数学二,金属学与热处理(835) 重点说专业课,金属学与热处理要比各类材料科学基础的难度小,往年的机理性考题较少,主要集中在工艺考察上,试题有很大的重复率,所以复习起来好上手,做题也有成就感,这也是东大材料工程性价比高的所在。但你简单大家都会简单,谁细心就会是最后的赢家。 专业课变化,去年专业课有30分的题目变化巨大,并不是照搬或改编往年题目,而是贴近热处理课本进行出题,这也将会是今后东大材料工程考研的一个必然的趋势,而注重课本和课后习题也是我对大家的建议。去年100以上就是很好的成绩了,多看课本,你就会有话说,不需要一字不差的写上去,用自己的话复述出来就很棒,老师也喜欢。还有就是答题时,要分点作答,这样老师会更喜欢。金属学与热处理去年的题量也有不小的增加,从头到尾一直不停的写也差点没写完,几乎考虑的时间加起来不足五分钟,基本就是一发卷子就蒙头写。只要平时真题背了,课后题看了,课本认真过了,你绝对有话说。最后就是图,课本上的能记住的图都熟稔于心,往试卷上一画,老师立马对你心动,画的人不多,你图文并茂,分绝对不低。 专业课的初复试资料我是在专业课老师推荐下淘宝大师兄考研买的,这家只做东北大学各专业考研。里面的真题很有价值,其他的内容一般,真题答案有1/4还得靠自己在课本中总结,答题分点,分点,分点,就是强行分也得分。 时间段的话,建议暑假就得开始过专业课的课本,暑假结束就得过完,其中有的同学难免会遇到实习种种,这并不是借口,你以为就你实习嘛? 三.复试 1.笔试参考书目 工程材料学(连法增) 这本书是东大自己出版的,其实能搞到真题的话其他资料就别买了,作用不大,

(完整版)全国高校研究生双控排名要最全的

关键词:控制理论与控制工程研究生教育分专业排行字体:大中小 排名学校名称等级排名学校名称等级排名学校名称等级 1 清华大学A+ 1 2 西安交通大学A 2 3 广东工业大学A 2 上海交通大学A+ 1 3 同济大学A 2 4 中国科学技术大学A 3 华中科技大学A+ 1 4 华东理工大学A 2 5 北京大学A 4 东北大学A+ 1 5 西北工业大学A 2 6 江南大学A 5 浙江大学A+ 1 6 湖南大学A 2 7 兰州理工大学A 6 北京航空航天大学A+ 1 7 华南理工大学A 2 8 天津大学A 7 中南大学A+ 18 重庆大学A 29 华北电力大学A 8 东南大学A+ 19 哈尔滨工程大学A 30 北京化工大学A 9 哈尔滨工业大学A 20 南京理工大学A 31 大连海事大学A 10 山东大学A 21 北京科技大学A 32 吉林大学A 11 北京理工大学A 22 上海大学A B+等(47个):浙江工业大学、河北工业大学、东华大学、大连理工大学、南京航空航天大学、燕山大学、江苏大学、西安理工大学、北京交通大学、厦门大学、郑州大学、中国石油大学、中国矿业大学、武汉科技大学、杭州电子科技大学、南开大学、电子科技大学、合肥工业大学、北京工业大学、山东科技大学、重庆邮电大学、兰州交通大学、沈阳工业大学、武汉大学、西安电子科技大学、河南理工大学、河海大学、南京师范大学、武汉理工大学、南京工业大学、广西大学、青岛大学、西华大学、四川大学、长春工业大学、内蒙古科技大学、天津工业大学、太原理工大学、哈尔滨理工大学、安徽工业大学、北京邮电大学、苏州大学、南京邮电大学、河南科技大学、中国海洋大学、河南大学、江苏科技大学 B等(47个):青岛科技大学、上海海事大学、南通大学、西安建筑科技大学、鞍山科技大学、长沙理工大学、福州大学、新疆大学、河北大学、安徽理工大学、湖南科技大学、辽宁工程技术大学、天津科技大学、沈阳理工大学、长安大学、黑龙江大学、扬州大学、三峡大学、辽宁石油化工大学、东北电力大学、西南交通大学、湖南工业大学、河北理工大学、西南科技大学、河北科技大学、辽宁工业大学、太原科技大学、西安科技大学、昆明理工大学、东北林业大学、北方工业大学、湖北工业大学、济南大学、山东轻工业学院、华东交通大学、陕西科技大学、曲阜师范大学、南昌航空工业学院、渤海大学、深圳大学、广西工学院、内蒙古工业大学、山东建筑大学、中国地质大学、南昌大学、天津理工大学、郑州轻工业学院

哈工大数电实验

姓名班级学号 实验日期节次教师签字成绩 可调频双花型彩灯控制器 1.实验目的 在许多场合可以看到LED彩灯。LED彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用。为了将数字电路的知识灵活的运用到实际应用中,现设计一个能够控制闪烁频率并且能变换花型的LED彩灯的控制电路。 2.总体设计方案或技术路线 由该控制电路的功能可知,该控制器的电路由三部分构成,第一部分是时钟脉冲发生电路,第二部分是花型选择电路,第三部分是花型产生电路。首先,要做变频,可以通过给定不同频率的时钟脉冲来控制,而555定时器构成的多谐振荡电路恰好有输出不同频率波形的功能,通过改变外接电阻阻值即可轻松改变输出波形的频率,所以这一部分用555定时器来产生不同频率的脉冲,再用2/8分频器分频;受到实验室的实验箱的限制,本次实验仅产生两种花型做演示,选择电路由74LS138译码器完成;花型彩灯的花型需要序列脉冲发生器产生,移位寄存器74LS194组成的脉冲发生器可以实现此功能。 3.实验电路图

4.仪器设备名称、型号和技术指标 实验箱、555定时器*1、74LS138*1、74LS93*1、74LS194*2、74LS20*1、74LS32、5kΩ电阻*1、10kΩ滑动变阻器*1、1μF电容*2 5.理论分析或仿真分析结果 电路接通后,555定时器输出端开始产生脉冲信号,由于此时频率较高,不便于观察,所以需降频,经过2/8分频器之后,频率有明显下降,将此信号接到译码器的G1端,译码器B、C端接低电平,A端手动控制,Y0、Y1端分别接到两个74LS194芯片的CP端,当A接低电平时,Y0有效,此时第一个194芯片被选中,A接高电平,第二个194芯片被选中。下表为要实现的花型,按此表画卡诺图并化简,得到花型1的 D SR=~(Q A Q B Q C Q D),花型1的D SR=~Q D,并由此连接电路。两片194芯片的输出通过或门 9 10000001

2021东北大学软件工程考研真题经验参考书

聊聊那些年研究生考试的事情。 说到关于政治,我看政治看得比较晚,八月才开始看而且看得很慢,因为我觉得看太早了也会忘记,事实证明,知识点精讲精练那本书在后期我已经忘光了……不过理科生的话建议早一点看,文科生可以九月份开始看。看一章就做一章配套练习巩固。看完精讲精练刷刷真题,就可以开始政治第二轮复习了。 这里推荐一下李凡老师的《政治新时器》教材,我只听了他的政治分析题的课,但是感觉非常有用,同时背的是她出的一本书,后半部分是各部分分析题易考考点,直接背就行。前半部分是选择题考点,后半部分是分析题,他押题押的也很准我都会,得意!最后如果有时间的话可以多做一些《政治新时器》教材押题卷,很有帮助。 英语: 我最满意的是英语,考完对答案我客观题就完形填空错了两个扣1分,别的全对,翻译和大小作文也写得很满意,小作文背到过一篇类似的。英语也是贯穿考研复习始终的,三月份开始每天早上背一小时单词和看一小时视频,单词最最最重要!背单词要坚持到考前最后一天,看视频也是为了记得更牢固,并且记更多的词组搭配。单词书我用的《一本单词》。大概到七月中旬开始做英语真题,我买的是《木糖英语真题手译》,做的时候客观题都当做考试一样做,每一年做完之后都把其中的生词记下来然后背,做完一遍之后开始第二遍,第二遍的重点主要是分析长难句以及检验单词有没有掌握牢固,蛋核英语公众号的课程也总能给我答疑。总而言之,英语关键是词汇量,每天都要坚持背单词,做起题来真的真的很不一样,轻松很多。 专业课: 首先大致浏览一遍课本,自己做一个大纲出来,大标题小标题小小标题这样,第一遍可以不细看,但要有个印象,知道书大概讲的是什么,分几章,每章又在说什么,建立一个知识框架出来。 第二遍开始仔仔细细地看课本,把这个知识框架逐步逐步地填满,不能有知识上的盲点,如果看书看不明白,就去问在校授课的专业课老师,老师会慈爱地给你详细解答。可以自己根据书本内容做笔记,做完笔记印象更深刻。 第三遍再返回去扫一下课本,可以结合真题去针对性地复习,真题的答案试

2018东北大学外国语言学及应用语言学考研经验分享

研途宝考研https://www.wendangku.net/doc/5414642956.html,/zykzl?fromcode=2014 1.政治74 我报了学校的辅导班,发了很多资料,除了后期买了一本肖四,其余的资料都是学校辅导班发的,用的习题和参考书都是时磊的。暑假的时候上了视频课,个人感觉很划算。9月多的时候开始复习政治,其实我真正全心复习也是从9月份开始的。7月份的时候我一边复习,一边做家教。8月份上完了政治视频课,回家待了一个多星期,可是来学校后室友都回家了,自己一个人实在待不下去,又回家去了。给我妈打电话让去接我的时候,我妈可无语了。然后就一直在家待到了9月份开学。个人感觉政治也不能复习的太晚了,因为政治知识点确实很多,我建议前期的时候多看几遍辅导书,但不用背,理解就好。等到后期背肖四就可以了。我在11月份前每天会抽1个半小时看政治,看一章做一章1500题。11月份后给政治的时间就是2个小时,我觉得政治选择题很重要,前期只要练好了选择题,考试时政治就不成问题了,大题等到考试前背肖四完全可以了。 2.二外日语93 个人感觉东大的日语不难。暑假的时候看了中级上,可后期发现看了也是白看,因为看完后扔在那没看了,等到11月份再看的时候全忘了[我汗]东大的参考书实在太多了,再看中级来不及了,我就把重心放在了初级两本书上。想着我能把初级的分拿到就可以了,如果考中级就蒙吧。因为东大的二外找不到真题,一直到考前心里还没谱。个人建议如果没时间了就着重看初级的就可以了。 3.基础英语130 基础英语我用的参考书是东大官网上公布的,英美概况买了本学习指南,然后自己根据东大的题型总结了一份笔记。很幸运的是今年的考题我正好总结了而且和出题的思路一样。欧洲文化入门我过了一遍参考书,然后就只看配套的学习指南。英美概况和欧洲文化入门都是从10月底才开始看的。阅读我买了一本专八阅读,前期练了几篇,后来没时间了就没练了。翻译的话也是练得专八翻译,从9月份开始一天一篇,一直到12月份。 4.综合英语120 首先说文学吧,我从学姐那买了文学的笔记,这份笔记确实帮了我很多,然后我又根据学姐的笔记自己整理了三本。后期就主要看笔记,在这里我建议大家不要想着把你写的文学笔记都背下来。我在这里就吃了很大的亏,想着要把它背下来,可是到后期你会发现你是背不下来的。其实只要理解了,能用自己的话写出来就行,不需要完全背下来。语言学,我买了本圣才的参考书,主要就是背圣才,语言学最好能够背,因为语言学大部分都是专业术语。你可能会发现语言学也是背了忘,忘了背,但是没关系,等你背到一定程度,在考场上你就会发现你是能写下来的。我刚开始背语言学时知识点在脑中最多只能停留两天然后就忘了。后来到了12月份我每天给语言学的时间就多了,有时一下午或者一上午你都会发现我在背语言学,甚至晚上手上拿的还是语言学的参考书。个人建议语言学要多背,而且要理解。 更多考研专业课资料访问研途宝考研网

哈工大数电自主实验 数字流水灯

Harbin Institute of Technology 数字电路自主设计实验 院系:航天学院 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验为我们提供了动手实践的机会,增强动手实践的能力。 二、实验要求 设计流水灯,即一排灯按一定的顺序逐次点亮,且可调频、暂停、步进。 三、实验步骤 1.设计电路实现题目要求,电路在功能相当的情况下设计越简单越好; 2. 画出电路原理图(或仿真电路图); 3.元器件及参数选择; 4.电路仿真与调试; 5.到实验时进行电路的连接与功能验证,注意布线,要直角连接,选最短路径,不要相互交叉,注意用电安全,所加电压不能太高,以免烧坏芯片; 6.找指导教师进行实验的检查与验收; 7.编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,心得体会。 四、实验原理 设计流水灯的方法有很多种,我的设计思路是: 利用555定时器产生秒脉冲信号,74LS161组成8进制计数器,74LS138进行译码,点亮电平指示灯。并通过调节555的电阻,实现频率可调。通过两与非门,实现暂停、步进功能。

1.秒信号发生器 (1)555定时器结构(2)555定时器引脚图 (3)555定时器功能表 (4)555定时器仿真图

2. 74LS161实现8进制加计数 74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活地运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。 (1)74LS161同步加法器引脚图 管脚图介绍: 始终CP和四个数据输入端 P0-P3 清零CLR 使能EP,ET 置数PE 数据输出端Q0-Q3 进位输出TC (2)74LS161功能表 (5)74LS161仿真图 对74LS161进行八进制计数改组,需要一个与非门,即芯片74LS00,也就是将74LS161的输出端通过与非门,当输出为8时将输出为高电平的端口与非后接到74LS161的清零段。即计数到8是异步清零,所以74LS161变为八进制计数。

2018年东北大学考研复试分数线

(一)复试条件: 根据文件规定,东北大学研究生,本次考试选拔对象,应符合以下条件: 1.总分和单科成绩达到分数线以及专业研究方向的要求。 2.达到分数线的考生,必须在规定的时间参加复试。未参加者,视为放弃。 3.凭准考证进行体检,按照考生序位号的先后顺序安排。体检不合者,不予录取。 4.复试采取专业笔试加面试的方式,考生最后成绩采取初试成绩与复试成绩进行加权的记分办法。 5.以综合考试成绩为录取依据,首先按各专业实考人数划定分数资格线,再按成绩从高到低进行排名。 (二)报考事项: 历年真题QQ在线咨询:363、916、816张老师。学校各相关学院成立工作小组,确定工作中的相关政策和办法研究重大事项;负责本学院考试工作的组织宣传事项和实施工作;完成报考成绩的统计及综合排名汇总材料并上报填表。 1.各学院要先完成报考专业的成绩进行排名,根据名单确定考生的具体范围。 2.符合上述条件的参加综合考试,根据报考专业并提交书面申请材料审核。 3.工作领导小组审核汇总名单后,将公示7天,期满后不再提示。 4.各相关专业按照考试科目的顺序依次进行。

5.考试成绩以书面通知形式发到学生本人。 (三)考试流程: 1.参加初试并获得复试资格的考生,应在复试前填写相关表格,按规定时间提供自身研究潜能的材料,攻读大学阶段的研究计划、科研成果等。 2.报考考生的资格审查由领导小组进行审查,对考生料进行审阅符合报考条件的考生统计填表。 3.我校采取笔试、口试或两者相兼的方式进行差额复试,以进一步安排加强进行考察学生的专业基础、综合分析能力、解决实际问题的能力和各种应用能力等。具体比例由学校根据本学科、专业特点及生源状况安排。 (四)复习方略: 1.要点内容考生贯彻各种各样的资料,其实关键要能保证你进行的系统性。因此整个阶段应该以真题为主,以精读的方式对考试的章节相关要点,对课本有一个纲领性的认识。对课后题必须要掌握,很多知识点题都出自课后。具备基础知识、该专业关注的研究方向。较为系统的了解都要以记忆为基础一定要做到对书的大体框架有全面的把握,把整个原理的前后概念贯穿起来。 2.在复习充分的情况下做完后对照答案进行对比,看看自己的差距在哪。接下来才是最重要的,要根据专业课的真题都会出什么题型,总结其考察重点是什么是哪一章节。把握这些之后安排,一定要必须的题目都整理出来行理解背诵。根据科目的先后顺序,因为通常前几年出现的题目会出现,根据政策方向考核对照问题的深度和广度,结合自己的知识结构知识存量,正确的安排答题技巧针对有限的知识来最好地回答。专业课的难度绝不亚于英语,对掌握的侧重点范围解题思

2020东北大学外应考研经验分享

2020东北大学外应考研经验分享 拟录取名单出来以后,考研尘埃落定,一路走来确实也感悟到考研是对一个人内心的考验,在备考的大半年中,也逐渐的成长了很多。遇到的困难很多,非常感谢学长学姐的鼓励与帮忙,也感谢家人朋友支持。现在想给大家分享一些经验,也算是对我自己这大半年的一个总结吧。 先说说政治复习,大概从7月底开始复习,买了肖的1000题,看完一章节视频就做相关的题。后来因为感觉时间不大够,就是一边做一边对答案(不建议大家这样做,如果有时间还是看完视频在做题效果会好很多),就这样1000题大概就做了两遍,把要记的知识点都记好。我觉得马原是需要理解的,这样才能记得牢。实在理解不了就看视频。1000题的配套视频挺好的,我就看了马原的部分,老师讲得不错。最后就是肖八和肖四,特别是肖四,今年的大题差不多都压中了,但是我复习开始的晚了,背的不熟,所以考试的时候发挥不是很好,希望大家谨记我的这个教训,踏踏实实背熟。 二外法语,10月份才正式开始着手复习二外。我没有买东大指定的参考教材,就用了本科期间的简明法语教程,本科期间学法语还算认真,书上做了很多笔记。因为听学长学姐是说东大二外考的不是特别难,所以我就抓基础知识,语法,把课后题的翻译都背下来。期间也有担心会不会出指定参考书的课后题,但是也没什么时间去想别的了,就踏踏实实的按照自己的计划复习。我认为,复习二外还是稍微早点,阅读词汇还是需要积累的,今年的阅读题就有些生词,做题时难以猜测它是什么意思。练习书主要用了郭以澄的大学法语考研必备,和其他学校二外法语考研真题。 基础英语,看考纲两道大题每题15分,却变成了每题30分。所以要背的要记的还是踏踏实实的复习背下来好,该掌握的都掌握好,参考书就用了指定的最新英美概况,阅读和翻译都买了对应的专八练习,大家要多做做题,作文也多练习一下,考试很多都出人意料。当时自己还挺幸运,因为时间不够,网上认识了一个研友,他推荐我报名爱考宝典辅导班试试,报名过后确实感觉老师讲的很好,给我补充了很多我的参考书上没有的内容。后期的时间花时间给我进行模拟训练。 综合英语,花的时间最多。语言学没用指定的胡壮麟第五版,还是用的本科时的胡的第四版,毕竟当时的笔记都在书上,复习起来比较好理解。感觉第四版和第五版也相差不大,所以就没买第五版。语言学我大概复习了三遍,第一遍细看与理解为主,第二遍开始边背边做题,第三遍结合真题以及自己认为还不是特别理解那些,进行着重背诵理解。我觉得书本上每个知识点都有可能是考点,所以不要抱有侥幸心理觉得不会考啥的,还是每个知识点弄懂弄明白。练习书主要用胡的配套练习册还有语言学考点精梳与精炼,做得也不多,都是挑着来做的。英美文学,买了学姐的笔记,以及自己也在笔记的基础上补充了一些爱考宝典的老师上课讲的知识点。第一遍把指定的参考书过了一遍,第二遍结合笔记开始记知识点,知识点很多很杂,有时候一个下午我就复习了1-2个作。刚开始背起来也有点难,后面也就慢慢的掌握技巧了。练习书用的北京环球时代英美文学考点测评,主要就是用来练练做题的感觉,重点还是背笔记上。 以上的经验给准备考东大的学弟学妹们参考,每个人都有自己的学习方法,找到合适自己的方法就是最好的。备战考研期间会怀疑自己,每天早起晚归有时候也会问自己是否值得,有时外界环境的干扰也容易否定自己。但是一想到要实现自己的梦想,这些内心的挣扎都不算什么,其实它会让自己成长,让自己的内心变得更加强大。加油吧各位,我在东北大学等着你们!

东北大学双控分数线

东北大学双控成绩单 101458000005977 081101 控制理论与控制工程 78 84 142 140 444 101458000000150 081101 控制理论与控制工程 86 70 128 134 418 101458000005951 081101 控制理论与控制工程 88 85 130 115 418 101458000004756 081101 控制理论与控制工程 85 71 120 136 412 101458000004110 081101 控制理论与控制工程 82 60 138 130 410 101458000001296 081101 控制理论与控制工程 84 58 126 141 409 101458000002183 081101 控制理论与控制工程 85 76 111 132 404 101458000004689 081101 控制理论与控制工程 82 69 131 122 404 101458000002765 081101 控制理论与控制工程 80 70 116 137 403 101458000004812 081101 控制理论与控制工程 78 64 132 129 403 101458000004939 081101 控制理论与控制工程 86 69 122 125 402 101458000005927 081101 控制理论与控制工程 82 88 125 107 402 101458000004682 081101 控制理论与控制工程 77 75 129 120 401 101458000005510 081101 控制理论与控制工程 85 67 115 134 401 101458000002521 081101 控制理论与控制工程 79 70 119 132 400 101458000000149 081101 控制理论与控制工程 73 67 123 136 399 101458000000185 081101 控制理论与控制工程 85 62 130 121 398 101458000004668 081101 控制理论与控制工程 73 74 114 137 398 101458000004934 081101 控制理论与控制工程 84 72 119 123 398 101458000004937 081101 控制理论与控制工程 83 64 125 126 398 101458000000387 081101 控制理论与控制工程 76 73 114 134 397

哈工大数字电路实验报告实验二

数字逻辑电路与系统上机实验讲义 实验二时序逻辑电路的设计与仿真 课程名称:数字逻辑电路与系统 院系:电子与信息工程学院 班级:1205102 姓名: 学号:1120510 教师:吴芝路 哈尔滨工业大学 2014年12月

实验二时序逻辑电路的设计与仿真3.1实验要求 本实验练习在Maxplus II环境下时序逻辑电路的设计与仿真,共包括6个子实验,要求如下: 节序实验内容要求 3.2同步计数器实验必做 3.3时序电路分析实验必做 3.4移位寄存器实验必做 3.5三人抢答器实验必做 3.6串并转换电路实验选做 3.7奇数分频电路实验选做

3.2同步计数器实验 3.2.1实验目的 1.练习使用计数器设计简单的时序电路 2.熟悉用MAXPLUS II仿真时序电路的方法 3.2.2实验预习要求 1.预习教材《6-3计数器》 2.了解本次实验的目的、电路设计要求 3.2.3实验原理 计数器是最基本、最常用的时序逻辑电路之一,有很多品种。按计数后的输出数码来分,有二进制及BCD码等区别;按计数操作是否有公共外时钟控制来分,可分为异步及同步两类;此外,还有计数器的初始状态可否预置,计数长度(模)可否改变,以及可否双向等区别。 本实验用集成同步4位二进制加法计数器74LS161设计N分频电路,使输出信号CPO的频率为输入时钟信号CP频率的1/N,其中N=(学号后两位mod 8)+8。下表为74LS161的功能表。 CLR N LDN ENP ENT CLK D C B A QD QC QB QA CO 0----------------00000 10----↑D C B A D C B A0 1111↑--------加法计数0 1111↑--------11111 110------------QD n QC n QB n QA n 11--0---------- 3.2.4实验步骤 1.打开MAXPLUS II,新建一个原理图文件,命名为EXP3_ 2.gdf。 2.按照实验要求设计电路,将电路原理图填入下表。

相关文档
相关文档 最新文档