文档库 最新最全的文档下载
当前位置:文档库 › 余三码

余三码

余三码
余三码

余三码

实验目的

设计一位十进制数的余三码编码的加法器单元电路。

实验基本要求

在做本实验之前,需要具备几点要求:

1)知道二进制是如何加减运算的;

2)知道二进制和十进制之间的关系及相互之间的转化;

3)知道逻辑门电路图符号代表什么含义及其功能特性是如何;

以上3点是做本实验的基础,如有一点不满足,请先自学相关内容。

实验过程

首先,我们要了解什么是余三码?

余三码(余3码)【计算机】是由8421码加上0011形成的一种无权码,由于它的每个字符编码比相应的8421码多3,故称为余三码。BCD码的一种。

那什么是8421码呢?

8421码,即BCD代码,是一种二进制的数字编码形式,用二进制编码的十进制代码。这种编码形式利用了四个位元来储存一个十进制的数码,使二进制和十进制之间的转换得以快捷的进行。

以上都是一些比较专业的定义,下面来讲一下我的理解。首先,不管是余三码还是8421码都是由四位长度的二进制来表示的。四位二进制能表示是数值范围是0000(0)-1111(15),8421码呢,为了方便人的十进制思维,只选取了0000(0)-1001(9)分别和十进制下的0-9一一对应,1010(10)-1111(15)这一部分不要了。由此可以看出,8421码选择的二进制数转化为十进制得到的数值也是从0到9的,和它要对应的十进数数值完全吻合。而余三码呢,它选取0011(3)-1100(12)来和十进制下的0-9一一对应,由于它选取的二进制数转化为十进制得到的数值是从3到12的,比它要对应的十进数数值都大3,故称为余三码。

下图更为直观的表现了余三码,8421码与十进制数的对应关系:

表1-1

接着,我们应该了解它这样做的好处是什么以及是如何计算的?

余三码是一种对9的自补代码,因而可给运算带来方便。其次,在将两个余三码表示的十进制数相加时,能正确产生进位信号,但对“和”必须修正。修正的方法是:如果有进位,则结果加上0011(3);如果无进位,则结果加上1101(13)(或则减去0011(3)),即得和数的余三码,最终的进位要看修正时候的进位。举个简单的例子:

十进制: 3 + 9 = ?

余三码:0110 + 1100 = ?

0110 0010

+)1100 +)0011

0010 进位1(有用) 需修正0101 进位0(无用) 已修正第一次求和有进位,则结果0010应加上0011。第二次求和没进位,结果为0101,其对应十进制数为2(可查表1-1),最终的结果0101,进位1。其转化为十进制的数为结果(2)加上进位(10)等于12,答案正确。

然后,我们来设计一位十进制数的余三码编码的加法器逻辑电路图。

在这之前,我们应该要了解一个一位加上一位的二进制全加器。因为这个全加器(以下皆用FA代替全加器表示)是其它加法器的基础。

全加器主要分为输入端和输出端。输入端包括两个操作数输入及进位输入数;输出端包括和及进位输出数。全加器处理过程为:输入两个操作数及进位输入数>>求和>>输出和及进位输出数。

进位就是指如果两个N位的操作数相加之后,和是N+1位的话,那么说明产生进位,进位输出数为1;反之,则为0。进位输出数是为了下次计算而保留的,在下一次计算时,进位输出数就变为进位输入数。由于第一次计算,进位输入没有,所以其最初值为0。

设全加器的两个一位二进制数分别为Ai,Bi,进位输入为Ci,结果为Si,进位输出数位Ci+1(i+1为下表),下面就是一位全加器框图及真值表:

根据全加器真值表、框图以及对逻辑门电路图符号所代表功能特性的认识可以

设计出如下逻辑电路图:

FA逻辑电路图

在了解了FA之后,我们可以根据以上模式来得到一位十进制数的余三码编码的加法器(一下简称为余三码加法器)逻辑电路图。

余三码加法器包括输入端和输出端。余三码加法器处理过程分为两部分。第一部分为:输入两个余三码编码的操作数及进位输入数>>求和>>输出和Si’及进位输出数Ci+1’。第二部分为:当Ci+1’=0,输入Si’与1101>>求和>>输出校正之后的和Si与Ci+1’;当Ci+1’=1,输入Si’与0011>>求和>>输出校正之后的和Si与Ci+1’。

设余三码编码的两个运算数为Xi和Yi,第一次用二进制加法求和运算的和数为Si’,进位为Ci+1’,校正后所得的余三码和数为Si,进位为Ci+1,则有:

Xi = Xi3 Xi2 Xi1 Xi0;

Yi = Yi3 Yi2 Yi1 Yi0;

Si’= Si3’Si2’Si1’Si0’;

当求Si’计算结果有进位,则Ci+1’= 1;否则Ci+1’= 0;

当Ci+1’= 1时,Si = Si’+ 0011;

当Ci+1’= 0时,Si = Si’+ 1101;

Ci+1 = Ci+1’;

根据以上的假设,我们可以设计出如下的一位十进制数的余三码编码的加法器的框图。如下图:

并且也可以设计出一位十进制数的余三码编码的加法器真值表。

以上两幅图,给出了全部的真值表值,共10*10条。

通过FA逻辑电路图、真值表及其框图,我们可以设计出余三码加法器逻

辑电路图。

上图就是本实验需要的一位十进制数的余三码编码的加法器逻辑电路图。

最后,就是对余三码编码的加法器逻辑电路图进行测试。

十进制:8 + 9

余三码:1011 + 1100

根据逻辑电路图可知,其最终结果:Si=1010,Ci+1=1,答案正确,说明电路图设计符合要求。

实验总结

通过本实验,我们对余三码有了比较清楚地认识,并掌握了其预算规则。我们还对FA(全加器)有了初步的认识。在做试验过程中,我们对逻辑电路图的设计有了更为深刻的体会,在设计余三码逻辑电路图中,为了使其更为明朗清楚,

我们重新设计了FA的逻辑电路图,并取得了不少收获。

8421码到余三循环码的转换电路仿真课设报告

东北大学秦皇岛分校计算机与通信工程院 电子线路课程设计 具有数显的数码转换电路(8421码—余3循环码)

课程设计任务书 专业:通信工程学号:4101015 学生姓名:吴玉新 设计题目:具有数显的码制转换电路8421码—余3循环码一、设计实验条件 高频实验室 二、设计任务及要求 1. 要求输入为8421码。输出为余三循环码 2. 输出要具有数显功能 三、设计报告的内容 1.前言 数字电路课程设计是继“数字电路”课后开出的实践环节课程其目的是训练学生综合运用学过的数字电路的基本知识独立设计比较复杂的数字电路能力。设计建立在硬件和软件两个平台的基础上。硬件平台是可编程逻辑器件所选器件可保存在一片芯片上设计出题目要求的数字电路。软件平台是multisim通过课程设计学生要掌握使用EDA电子设计自动化工具设计数字电路的方法包括设计输入便宜软件仿真下载及硬件仿真等全过程。数字电路课程设计在于更好的让学生掌握这门课程并且了解其实用性知道该门课程和我们的生活息息相关并且培养学生的动手能力让学生对该门课程产生浓厚的兴趣。 2.设计内容及其分析 (1)方案一 1.设计思路 设计8421转余三循环码主要是考虑怎样找到二者之间的联系。列出真值表后,根据值为1的那些项列出表达式,用最小项之和表示。然后根据卡诺图进行

化简,得出最简表达式。最后根据表达式,在Multisim上画图仿真,用灯的灭(表示0)和亮(表示1)来表示码制的转换。即可得到8421码对余三循环码的转换。 真值表: 表1 8421转余三循环码真值表 根据真值表得出表达式: X4=A——C X3=B——C——+ A——BCD+A——B——D—— X2=A B——C——D——+A——B+A——C+A——D X1=A B——C——+A——BD+A——BC 根据表达式画出逻辑电路图:

最新数电复习资料(含答案)期末考试

数电 第一章 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。A.1B.2C.4D. 16 4.十进制数25用8421BCD码表示为。A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。A.周期 B.占空比 C.脉宽 D.扫描期8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9.常用的B C D码有。A.奇偶校验码 B.格雷码 C.8421码 D.余三码10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。()

4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。() 7.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。() 8.占空比的公式为:q = t w / T,则周期T越大占空比q越小。() 9.十进制数(9)10比十六进制数(9)16小。() 10.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。() 三、填空题 1.描述脉冲波形的主要参数有、、、、、、。 2.数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3.分析数字电路的主要工具是,数字电路又称作。 4.在数字电路中,常用的计数制除十进制外,还有、、。 5.常用的BCD码有、、、等。常用的可靠性代码 有、等。 6.(10110010.1011)2=( )8=( )16 7.( 35.4)8 =()2 =( )10=( )16=( )8421BCD 8.(39.75 )10=()2=( )8=( )16 9.( 5E.C)16=()2=( )8=( )10= ( )8421BCD 10.( 0111 1000)8421BCD=() =( )8=( )10=( )16 2 四、思考题

数字电子技术基础第一章练习题和参考答案

第一章数字电路基础 第一部分基础知识 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()

数字逻辑综合练习

《数字逻辑》期末综合练习2015~2016第一学期 一、单项选择 1、表示任意一位十六进制数可以用( C )位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 2、逻辑代数中讨论的数为( D )。 A. 1 B. 0 C. 任意 D. 0和1 3、常用情况下 BCD码是指( C ) 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 4、以下代码中哪个是相邻编码只有1位二进制码不同 ( B )。 A. 8421BCD 码 B. 格雷码 C. 余三码 D. 2421码 5、以下表达式中符合逻辑运算法则的是( D )。 A. C·C=C2 B.1+1=10 C.0<1 D.A+1=1 6、当逻辑函数有n个变量时,共有( B )个变量取值组合? A . n B . 2n C . n2 D . 2n 7、在何种输入情况下,“与非”运算的结果是逻辑0( D )。 A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 8、在何种输入情况下,“或非”运算的结果是逻辑1( D )。 A.任一输入为1 B.全部输入是1 C.任一输入为0,其他输入为1 D. 全部输入是0 9、在余3码编码中不允许出现的代码是( A )。 A、0000~0010和1101~1111 B、0101~1010 C、0011~1001 D、无 10、对于有3个逻辑变量的逻辑函数,它所对应的卡诺图小方格有( D )个。 A . 3 B . 6 C . 7 D . 8

11、为了书写方便,逻辑函数的最小项可用( B )表示。 A . n B . m C .M D . N 12、为了书写方便,逻辑函数的最大项可用( C )表示。 A . n B . m C .M D . N 13、十进制6的格雷码是( C ) A . 110 B . 100 C .101 D . 011 14、有一个三个变量X,Y,Z的逻辑函数,下列哪个是最小项( A ) A . XYZ B . XYX C .YZX D . XYZ 15、组合逻辑电路的特征是( A )。 A . 输出与输入有关 B . 输出与输入无关 C .电路有记忆功能 D . 以上都不是 16、下列逻辑表达式是与或表达式( B )。 A . A(B+C) B . A+B C C .(AC+B) D D . 都不是 17、在数字逻辑中下列表达式哪个是错误的( C )。 A.0=0 B.1=1 C.1>0 D.都不对 18、图中所示逻辑电路图输出为“0”时,输入 变量ABCD取值组合为( B )。 A.1101 B.0101 C.0111 D.1011 19-1、时序逻辑电路的一般由组合逻辑电路与( C )组成。A.全加器B.译码器 C.触发器D.选择器19-2、5变量卡诺图中的卡诺圈包含的1方格的个数可能是( D )。A.12 B.5 C.24 D.1 20、同步RS触发器接收信号是( A )。

数字逻辑第二三章

第二章 组合逻辑 1. 分析图中所示的逻辑电路,写出表达式并进行化简 2. 分析下图所示逻辑电路,其中S3、S2、S1、S0为控制输入端,列出真值表, 说明 F 与 A 、B 的关系。 F1= F2= F=F 1F 2= B F = AB + B = AB A F = A B BAB C CABC = AB + AC + BC + BC = AB + BC + BC 1 S B BS A ++3 2 S B A ABS +1 S B BS A ++

3. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能。 解: F1== 真值表如下: 当B ≠C 时, F1=A 当B=C=1时, F1=A 当B=C=0时, F1=0 裁判判决电路,A 为主裁判,在A 同意的前提下,只要有一位副裁判(B ,C )同意, 成绩就有效。 F2= 真值表如下: C B B C A C AB C B A +++ABC C B A ABC C B A C B A +⊕=++)(A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 0 1 1 1 000001 11AC BC AB C A C B B A ++=++

当A 、B 、C 三个变量中有两个及两个以上同时为“1”时,F2 = 1 。 4.图所示为数据总线上的一种判零电路,写出F 的逻辑表达式,说明该电路的逻辑功能。 解:F= 只有当变量A0~A15全为0时,F = 1;否则,F = 0。 因此,电路的功能是判断变量是否全部为逻辑“0”。 5. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能 解: 因此,这是一个四选一的选择器。 6. 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 0 1 1 1 000011 111514131211109876543210A A A A A A A A A A A A A A A A +++301201101001X A A X A A X A A X A A F +++=

8421码到余三循环码的转换电路仿真课设报告材料

东北大学分校计算机与通信工程院 电子线路课程设计 具有数显的数码转换电路(8421码—余3循环码)

课程设计任务书 专业:通信工程学号:4101015 学生:吴玉新 设计题目:具有数显的码制转换电路8421码—余3循环码 一、设计实验条件 高频实验室 二、设计任务及要求 1. 要求输入为8421码。输出为余三循环码 2. 输出要具有数显功能 三、设计报告的容 1.前言 数字电路课程设计是继“数字电路”课后开出的实践环节课程其目的是训练学生综合运用学过的数字电路的基本知识独立设计比较复杂的数字电路能力。设计建立在硬件和软件两个平台的基础上。硬件平台是可编程逻辑器件所选器件可保存在一片芯片上设计出题目要求的数字电路。软件平台是multisim通过课程设计学生要掌握使用EDA电子设计自动化工具设计数字电路的方法包括设计输入便宜软件仿真下载及硬件仿真等全过程。数字电路课程设计在于更好的让学生掌握这门课程并且了解其实用性知道该门课程和我们的生活息息相关并且培养学生的动手能力让学生对该门课程产生浓厚的兴趣。 2.设计容及其分析 (1)方案一 1.设计思路 设计8421转余三循环码主要是考虑怎样找到二者之间的联系。列出真值表

后,根据值为1的那些项列出表达式,用最小项之和表示。然后根据卡诺图进行化简,得出最简表达式。最后根据表达式,在Multisim上画图仿真,用灯的灭(表示0)和亮(表示1)来表示码制的转换。即可得到8421码对余三循环码的转换。 真值表: 表1 8421转余三循环码真值表 根据真值表得出表达式: X4=A——C X3=B——C——+ A——BCD+A——B——D—— X2=A B——C——D——+A——B+A——C+A——D X1=A B——C——+A——BD+A——BC 根据表达式画出逻辑电路图:

串行的8421BCD码转换成串行余3码的逻辑系统的设计

串行的8421BCD码转换成串行余3码的 逻辑系统设计 一、摘要 本文将设计一个串行的8421BCD码转换成串行余3码的逻辑系统。其可实现基本要求如下:8421码作为串行输入,余三码作为串行输出。每四个时钟周期完成一位十进制的转换。 二、设计思路 我们将该逻辑系统大致分为三个模块: 1、输入模块 2、转换模块 3、输出模块 这样串行输入的8421码经输入模块后并行输出,通过转换电路转换成余三码,并行的余三码再通过输出模块串行输出。 三、具体方案 1、输入模块: 采用移位寄存器74LS194作为输入,右移位S R作为串行输入口,Q0、Q1、Q2、Q3作为四个并行输出口。经过4个时钟周期,得到一组8421码(一位十进制)。

2、转换模块 该部分我们有如下两种方案: 方案一: 写出8421BCD 码转换成串行余3码的真值表,通过卡诺图化简得出转换电路。 真值表: 卡诺图化简: 将真值表中各 值填入卡诺图 DCBA Q

可得出化简后函数为: D= Q1Q2+ Q0Q2+ Q3 C= Q2,Q1+ Q2,Q0+ Q1,Q0,Q2 B= Q1,Q0,+ Q1Q0 A= Q1,Q0,+ Q1Q0, 通过各个函数表达式可用逻辑门电路搭建转换电路。 方案二: 8421BCD码到余三码转换只需要将8421BCD码加0011即可,这样我们可以直接利用加法器进行转换。 方案一中所用的门电路较多,设计复杂,且各端输出延迟也不等,所以我们采用方案二。 3、输出部分 输出段我们采用74LS163构成一个模四的计数器,采用多路复用器对四个数据Q3Q2Q1 Q0选择输出,从而得到串行输出的余三码。

数字逻辑第一章习题数字与编码电子教案

数字逻辑第一章习题数字与编码 一、选择题 1、以下代码中为无权码的为( )。 A、8421BCD码 B、5421BCD码 C、余三码 D、格雷码 2、一位十六进制数可以用( )二进制数来表示。 A、1 B、2 C、4 D、16 3、十进制数25用8421BCD码表示为( )。 A、10 101 B、0010 0101 C、100101 D、10101 4、在一个8位的存储单元中,能够存储的最大无符号整数是( )。 A、(256)10 B、(127)10 C、(FF)16 D、(255)10 5、常用的BCD码有( )。 A、奇偶校验码 B、格雷码 C、8421码 D、余三码 6、与模拟电路相比,数字电路主要的优点有( )。 A、容易设计 B、通用性强 C、保密性好 D、抗干扰能力强 7. 下面关于计算机中定点数与浮点数的一些叙述 , 正确的是_________ A. 定点数只能表示纯小数 B. 浮点数尾数越长 , 数的精度就越高 C. 定点数的数值范围一定比浮点数的数值范围大 D. 定点数就是用十进制表示的数 8. 下列有关 " 权值 " 表述正确的是_______

A. 权值是指某一数字符号在数的不同位置所表示的值的大小 B. 二进制的权值是 " 二 ", 十进制的权值是 " 十 " C. 权值就是一个数的数值 D. 只有正数才有权值 9. 下列有关 " 基数 " 表述正确的是________ A. 基数是指某一数字符号在数的不同位置所表示的值的大小 B. 二进制的基数是 " 二”,十进制的基数是 " 十 " C. 基数就是一个数的数值 D. 只有正数才有基数 10. 十进制数 "13", 用三进制表示为_________ A.lOl B.110 C.111 D.112 11. 下列各数都是五进制数 , 其中________对应的十进制数是偶数。 A.111 B. 101 C.131 D.100 12. 一个某进制的数"lAl”,其对应十进制数的值为 300, 则该数为 A. 十一进制 B.十二进制 C. 十三进制 D. 十四进制 13. 做无符号二进制加法 :(11001010)2+(0000100l)2=_________ A.11001011 B.11010101 C.1 1010011 D.11001101 14. 做无符号二进制减法 :(11001010)2 -(000010Ol)2=________ A.11001001 B.11000001 C.11001011 D.11000011 15. 做下列逻辑加法 :11001010 V 00001001=__________ A.0000100O B.11000001 C.00001001 D.11001011

《数字逻辑》考试习题案例

《数字逻辑》习题案例(计算机科学与技术专业、信息安全专业) 2004年7月 计算机与信息学院、计算机系统结构教研室

一、选择题 1.十进制数33的余3码为00110110. 。A. 00110110 B. 110110 C. 01100110 D. 100100 2.二进制小数-0.0110的补码表示为 D 。 A.0.1010 B.1.1001 C.1.0110 D.1.1010 3.两输入与非门输出为0时,输入应满足。 A.两个同时为1 B.两个同时为0 C.两个互为相反 D.两个中至少有一个为0 4.某4变量卡诺图中有9个“0”方格7个“1”方格,则相应的标准与或表达式中共有多少个与项? A. 9 B.7 C.16 D.不能确定 5. 下列逻辑函数中,与相等的是。 ⊙ 6. 设计一个6进制的同步计数器,需要个触发器。 3 4 5 6 7. 下列电路中,属于时序逻辑电路的是。 编码器半加器寄存器译码器 8. 列电路中,实现逻辑功能的是。 9. 的输出端可直接相连,实现线与逻辑功能。 与非门一般门 集电极开路门一般门 10.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 11.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 12.一位十六进制数可以用位二进制数来表示。 A.1 B.2 C.4 D. 16

13.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 14.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 15.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 16.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 17.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 18.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 19.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强20.n个变量的最小项是。 A. n个变量的积项,它包含全部n个变量 B. n个变量的和项,它包含全部n个变量 C. 每个变量都以原变量或者反变量的形式出现,且仅出现一次。 D. n个变量的和项,它不包含全部变量。 21.当描述同步时序电路的最简状态表中含有()个状态时,需要两个触发器。A. 3 B. 4 C. 2 D. 5 22.组合逻辑电路的结构特点,表现为()。 A.有记忆功能 B.有反馈回路 C.不含记忆元件 D.无反馈回路 23.以下表达式中符合逻辑运算法则的是。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 24. 逻辑变量的取值1和0可以表示:。 A.开关的闭合、断开 B.电位的高、低 C.真与假 D.电流的有、无 25. 当逻辑函数有n个变量时,共有个变量取值组合? A. n B. 2n C. n2 D. 2n 26. 逻辑函数的表示方法中具有唯一性的是。 A.真值表 B.表达式 C.逻辑图 D.卡诺图 27.F=A+BD+CDE+D= 。

计算机组成原理参考答案

习题1参考答案 一、选择题 1.微型计算机的分类通常是以微处理器的 D 来划分。 A.芯片名 B. 寄存器数目 C.字长 D. 规格 2. 将有关数据加以分类、统计、分析,以取得有价值的信息,我们称为 A。 A.数据处理 B. 辅助设计 C.实时控制 D. 数值计算 3.计算机技术在半个世纪中虽有很大的进步,但至今其运行仍遵循这一位科学家提出的基本原理D 。 A.爱因斯坦 B. 爱迪生 C. 牛顿 D. 冯·诺伊曼 4.冯·诺伊曼机工作方式的基本特点是 A 。 A.按地址访问并顺序执行指令 B.堆栈操作 C.选择存储器地址 D.按寄存器方式工作 5.目前的CPU包括_A_和cache。 A. 控制器、运算器 B. 控制器、逻辑运算器 C. 控制器、算术运算器 D. 运算器、算术运算器 二、填空 1. 数字式电子计算机的主要外部特性是快速性、准确性、通用性、逻辑性。 2. 世界上第一台数字式电子计算机诞生于 1946 年。 3. 第一代电子计算机逻辑部件主要由电子管组装而成。第二代电子计算机逻辑部件主要由晶体

管组装而成。第三代电子计算机逻辑部件主要由集成电路组装而成。第四代电子计算机逻辑部件主要由大规模集成电路组装而成。 4. 当前计算机的发展方向是网络化计算机系统、分布式计算机系统和智能化计算机系统等方向发展。 5. 电子计算机与传统计算工具的区别是自动化程度高。 6.冯·诺依曼机器结构的主要思想是 1.采用二进制代码表示数据和指令;2.采用存储程序的工作方式;3.计算机的硬件系统由五大部分组成。 7. 冯·诺依曼机器结构由控制器、存储器、运算器、输入设备和输出设备五大部分组成。 8. 中央处理器由运算器和控制器两部分组成。 9. 计算机中的字长是指机器数的二进制位数(或计算机一次可以处理的二进制位数)。 10. 运算器的主要部件是算术逻辑运算单元ALU。 11. 控制器工作的实质是指挥和协调机器各个部件有条不紊工作。 12. 存储器在计算机中的主要功能是存储程序和数据。 13. 计算机的兼容性是指:一台计算机上的程序不加任何修改可在另一台计算机上正确运行的特性。 14.表示计算机硬件特性的主要性能指标有:字长、主频、存储容量、兼容性、数据处理速度、可靠性、数据传送速率。(填5个以上) 15.可由硬件直接识别和执行的语言是机器语言。 16.与机器语言相比汇编语言的特点是编程容易、修改调试方便。 17.计算机系统的软硬件界面是指令系统。 18.软硬件逻辑功能等效是指计算机系统的某功能可以由硬件实现也可以由软件实现,在逻辑功能上是等价的。由硬件实现功能的特点是速度快、价格高。由软件实现功能的特点是灵活性好、但速度较慢。 19.计算机厂家在发展新机种时,遵循兼容的原则。

【免费下载】8421码转化为余三码

漳州师院实验报告漳州师范学院实验报告 课 程 模拟电子技术 实验室 多媒体技术实验室 实验日期 2012.03.10 成 绩 教师审阅签字 实验题目:用与非门将8421码转化为余3码一、实验目的:用与非门将8421码转化为余3码 二、实验环境:Ewb505c 仿真软件三、实验内容及要求:1.先分析8421码译码成4线-10线型的真值表2.再分析将4线-10线型编码成余3码的真值表3.用仿真软件绘画实验原理图四、实验步骤: 1、先分析8421码译码成4线-10线型的真值表如下:2、再分析将4线-10线型编码成余3码的真值表如下:、管路敷设技术通过管线敷设技术,不仅可以解决吊顶层配置不规范问题,而且可保障各类管路习题到位。在管路敷设过程中,要加强看护关于管路高中资料试卷连接管口处理高中资料试卷弯扁度固定盒位置保护层防腐跨接地线弯曲半径标高等,要求技术交底。管线敷设技术中包含线槽、管架等多项方式,为解决高中语文电气课件中管壁薄、接口不严等问题,合理利用管线敷设技术。线缆敷设原则:在分线盒处,当不同电压回路交叉时,应采用金属隔板进行隔开处理;同一线槽内,强电回路须同时切断习题电源,线缆敷设完毕,要进行检查和检测处理。、电气课件中调试对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行高中资料试卷调整试验;通电检查所有设备高中资料试卷相互作用与相互关系,根据生产工艺高中资料试卷要求,对电气设备进行空载与带负荷下高中资料试卷调控试验;对设备进行调整使其在正常工况下与过度工作下都可以正常工作;对于继电保护进行整核对定值,审核与校对图纸,编写复杂设备与装置高中资料试卷调试方案,编写重要设备高中资料试卷试验方案以及系统启动方案;对整套启动过程中高中资料试卷电气设备进行调试工作并且进行过关运行高中资料试卷技术指导。对于调试过程中高中资料试卷技术问题,作为调试人员,需要在事前掌握图纸资料、设备制造厂家出具高中资料试卷试验报告与相关技术资料,并且了解现场设备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况,然后根据规范与规程规定,制定设备调试高中资、电气设备调试高中资料试卷技术电力保护装置调试技术,电力保护高中资料试卷配置技术是指机组在进行继电保护高中资料试卷总体配置时,需要在最大限度内来确保机组高中资料试卷安全,并且尽可能地缩小故障高中资料试卷破坏范围,或者对某些异常高中资料试卷工况进行自动处理,尤其要避免错误高中资料试卷保护装置动作,并且拒绝动作,来避免不必要高中资料试卷突然停机。因此,电力高中资料试卷保护装置调试技术,要求电力保护装置做到准确灵活。对于差动保护装置高中资料试卷调试技术是指发电机一变压器组在发生内部故障时,需要进行外部电源高中资料试卷切除从而采用高中资料试卷主要保护装置。

8421BCD码与余三码的相互转换

数字逻辑电路》课程设计 报告书 题目名称:余三码和8421BCD码相互转化的逻辑电路 学院:专业:机电工程学院电子信息工程 班级:2016 级 1 班学号: 1X01131XXX 姓名:XXX 指导教师:XXX 2018 年 6 月

课程设计报告书 1. 掌握组合逻辑电路的基本概念与结构。 2. 认识基本门电路 74LS08、74LS32、 74LS04、74LS48、 74LS27、74LS86 的各端口,并能够正确的使用。 3. 了解 8421BCD 码转换成余 3 码及余 3码转换成 8421BCD 码的工作原理, 调 试及故障排除方法。 4. 掌握芯片间的逻辑关系,准确的进行连线。 设计内容: 使用“与”门( 74LS08)、“或”门( 74LS32)、非门( 74LS04)、 七段数码管译码器驱动器( 74LS48)、三输入“或”门 74LS27、“异或门” 74LS86,设计 8421BCD 码转换成余 3 码及余 3 码转换成 8421BCD 码。 根据题意,要将 8421BCD 码转换成余 3 码及余 3码转换成 8421BCD 码 就必须得根据转换的规则来实现。其中 8421BCD 码转换成余三码时, 8421BCD 码有 0000—0110七种输入,另外有 1101—1111是 3 种输入,这三 种输入转换成余三 码后用单个数码管无法进行显示; 余 3 码转换成 8421BCD 码时,余三码有 0011—1111十三种输入,另外有 0000—0010 是三种输入单 一数码管无法显示 的, 因此我们可以用这些无关小项来化简逻辑函数, 从而 得到优化的逻辑电路,正确的完成设计的要求。 功能说明:设 计 目 的 设计 内容 及 功能 说明 集成电路名称及引脚符号 74LS08 与门 74LS32 或门

八位二进制码转化为BCD码及余三码、BCD码转化余三码

河南科技大学 课程设计说明书 课程名称 EDA技术 题目八位二进制转化为BCD码及 余三码、BCD码转化为余三码 学院车辆与动力工程学院 班级 学生姓名 指导教师 日期2012年7月14号

八位二进制码转化为BCD码及余三码、BCD码转化余三码 摘要 八位二进制数转化为BCD码和余三码的转换在计算机语言中起到了非常重要的作用,通过这次的课程设计让我们更好地掌握二进制数转化为BCD 码和余三码。二进制转化为余三码不能直接转化,只能通过BCD码为中介进而转化成余三码。余三码(余3码)是由8421BCD码加上0011形成的一种无权码,由于它的每个字符编码比相应的8421BCD码多3,故称为余三码。BCD码的一种。余三码是一种对9的自补代码,因而可给运算带来方便。其次,在将两个余三码表示的十进制数相加时,能正确产生进位信号,但对“和”必须修正。修正的方法是:如果有进位,则结果加3;如果无进位,则结果减3。如,(526)10进制=(0101 0010 0110)8421BCD码=(1000 0101 1001)余3码 EDA技术打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率与产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。VHDL主要用于描述数字系统的接口,结构和功能,它的语法简单易懂,移植性好。 本设计采用VHDL,Altera公司的Quartus II软件仿真,来实现八位二进制到BCD和BCD到余三码的转换。由于八位二进制的最大范围是0~255,而八位BCD码的范围是0~99,故在转换时输入信号只能取99以内的数。 关键词:八位二进制、BCD码、余三码、VHDL

一位8421BCD码转换成余3码实验

实验2: 一位8421BCD码转换成余3码(综合设计性)一、目的:使用门电路设计一位8421码转换成余3码组合逻辑电路,掌握组合逻辑电路的基本概念和设计方法。 二、要求:设计组合逻辑电路。画出逻辑电路图。标出集成电路引脚。 正确连接逻辑电路;实验结果满足要求。 三、设计和实验内容 1、列出一位8421码转换成余3码真值表 2、写出逻辑函数表达式 3、对逻辑函数表达式进行变换(使用“与非”和“异或”门) 4、画出逻辑电路图。在逻辑电路图中标出集成电路引脚。 集成电路名称及引脚编号。 74LS00 集成电路引脚名称74LS86 集成电路引脚名称 5V 13 12 11 10 9 8 1 2 3 4 5 6 地 四、实验设备和集成电路 1、数字逻辑实验板一台。 2、3片74LS00,1片74LS86集成电路,连结导线50根。

五、考核方式 1、逻辑电路图应当整洁、规范。 2、实验前作好充分实验准备。 3、数字逻辑实验课是一项实践性很强的教学课程。考核的重点是电路连接,调试和测试的实践性环节。考察学生在实验中的动手能力和事实求是的科学态度。核心是检查是否能够实际完成一位全加器数字逻辑电路,并电路运行正确作为重要标准。 在电路连接,调试和测试完成后,经老师检查确认满足实验要求,学生签字,递交报告书,方可通过实验的验收。 六、连接,调试和测试组合逻辑电路参考事项注意如下: 1、实验开始时,检查并确定实验设备上的集成电路是否符合要求。 2、导线在插孔中一定要牢固接触。集成电路引脚与引脚之间的连线一定要良好接触。连线在面包板上排列整齐,连线的转弯成直角。连线不要飞线。 3、在组合逻辑电路连线时,为了防止连线时出错,可以在每连接一根线以后,在组合逻辑电路图中做一个记号,这样可以避免连线搞错,连线漏掉,多余连线等现象发生。

数电复习资料(含答案)期末考试

、选择题 1以下代码中为无权码的为 2 ?以下代码中为恒权码的为 5. 在一个8位的存储单元中,能够存储的最大无符号整数是 8 .与八进制数(47. 3) 8等值的数为: 1 0 .与模拟电路相比,数字电路主要的优点有 二、判断题(正确打",错误的打X ) 方波的占空比为 0. 5° ( ) 2. 8421码1001比0001大。( ) 数字电路中用“ 1”和“ 0”分别表示两种状态,二者无大小之分。() 和 ____ 来表示。 3. 分析数字电路的主要工具是 __________ ,数字电路又称作 ___________ 。 数电 第一章 A . 8421BCD 码 B . 5421BCD 码 C .余三码 D .格雷码 A .8421BCD 码 B . 5421BCD 码 C .余三码 D .格雷码 3?—位十六进制数可以用 位二进制数来表示。 D . 16 4 .十进制数25用8421BCD 码表示为 。A .10 101 B .0010 0101 C .100101 D .10101 A . ( 256) 10 B . (127) 10 C . ( FF ) 16 D . ( 255) 10 6. 与十进制数(53.5) 10等值的数或代码为 A .(0101 0011. 0101)8421BCD B .(35. 8)16 C . (110101. 1)2 D .(65. 4)8 7 ?矩形脉冲信号的参数有 ° A.周期 B.占 C.脉宽 D.扫描期 A. (100111 . 011 )2 B. (27. 6)16 C. ( 27. 3 )16 9. 常用的BCD 码有 D. (100111 . 11 )2 ° A.奇偶校验码 B.格雷码C. 8421码 D.余三码 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 1. 3. 4. 格雷码具有任何相邻码只有一位码元不同的特性。 5. 八进制数(18) 8比十进制数(18) 10小。() 6. 当传送十进制数5时,在8421奇校验码的校验位上值应为 7. 在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。 9. 占空比的公式为:q = t w / T ,则周期 十进制数(9) 10比十六进制数(9) T 越大占空比q 越小。() 16 小。() 10.当8421奇校验码在传送十进制数( 8) 10时,在校验位上出现了 1时,表明在传送过 程中出现了错误。() 三、填空题 1. 描述脉冲波形的主要参数有 2. 数字信号的特点是在 上和 上都是断续变化的,其高电平和低电平常用

8421BCD—余3码转换

VHDL程序并行语句的应用 一、实训目的 1.巩固编译、仿真VHDL文件的方法。 2.掌握VHDL程序并行语句的应用。 二、实训器材 计算机与Quartus Ⅱ工具软件。 三、实训指导 (一)实训原理 8421BCD-余3码转换电路的真值表如表3-1所示。 表3-1 8421BCD-余3码转换电路的真值表 输入输出 a3 a2 a1 a0 y3 y2 y1 y0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 0 0 1 1 1 0 1 0 1 1 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 1 0 1 0 1 0 0 0 1 0 1 1 1 0 0 1 1 1 0 0 (二)实训步骤 1.设计输入VHDL文件 (1)建立工程项目。 (2)建立VHDL文件。 (3)用条件信号赋语句或选择信号赋值语句等并行语句设计VHDL文件。VHDL代码如下:

LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY ysmzh IS PORT(a:IN STD_LOGIC_VECTOR(3 DOWNTO 0); y:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END ysmzh; ARCHITECTURE a OF ysmzh IS BEGIN PROCESS(a) BEGIN CASE a IS WHEN "0000"=>y<="0011"; WHEN "0001"=>y<="0100"; WHEN "0010"=>y<="0101"; WHEN "0011"=>y<="0110"; WHEN "0100"=>y<="0111"; WHEN "0101"=>y<="1000"; WHEN "0110"=>y<="1001"; WHEN "0111"=>y<="1010"; WHEN "1000"=>y<="1011"; WHEN "1001"=>y<="1100"; WHEN OTHERS=>NULL; END CASE; END PROCESS; END a; 2.编译仿真VHDL文件 (1)编译VHDL文件。 如果有错误,检查并纠正错误,直至最后通过。(2)仿真VHDL文件。 认真核对输入/输出波形,检查设计的功能是否正确。 8421BCD-余3码转换的仿真波形图如图3-1所示。

8421BCD码与余三码的相互转换

《数字逻辑电路》课程设计 报告书 2018年6月

课程设计报告书 设 计 目 的 1.掌握组合逻辑电路的基本概念与结构。 2.认识基本门电路74LS08、74LS32、74LS04、74LS48、74LS27、74LS86的各端口,并能够正确的使用。 3.了解8421BCD 码转换成余3码及余3码转换成8421BCD 码的工作原理,调试及故障排除方法。 4.掌握芯片间的逻辑关系,准确的进行连线。 设计 内容 及 功能 说明 设计内容: 使用“与”门(74LS08)、“或”门(74LS32)、非门(74LS04)、七段数码管译码器驱动器(74LS48)、三输入“或”门74LS27、“异或门”74LS86,设计8421BCD 码转换成余3码及余3码转换成8421BCD 码。 根据题意,要将8421BCD 码转换成余3码及余3码转换成8421BCD 码就必须得根据转换的规则来实现。其中8421BCD 码转换成余三码时,8421BCD 码有0000—0110七种输入,另外有1101—1111是3种输入,这三种输入转换成余三码后用单个数码管无法进行显示;余3码转换成8421BCD 码时,余三码有0011—1111十三种输入,另外有0000—0010是三种输入单一数码管无法显示的,因此我们可以用这些无关小项来化简逻辑函数,从而得到优化的逻辑电路,正确的完成设计的要求。 功能说明: 集成电路名称及引脚符号 74LS08与门 74LS32或门

74LS04非门74LS27三输入“或”门 内容 及 功能 说明 74LS48七段数码管译码器驱动器

设 计 步 骤 “8421BCD 码转余3码” “余3码转8421BCD 码”

数字电子技术3

习题 2数字电路基础 2-1将十进制数83、11.25、转换成二进制数、8421BCD码、十六进制数和八进制数。 2-2将二进制数101010、1011001.101、0.1101转换成十进制数、十六进制数和八进制数。 2-3将十六进制数732、1BA、5C.48、0.35D转换成二进制数和十进制数。 2-4利用二进制补码实现下列运算: (l)110100—101011; (2)10110-11011; 2—5试将十进制数69.57和—0.3125表示成浮点的规格化数,设阶码(含阶符)为4位,尾数(含尾符)为8位。 2-6写出图2-22所示各电路的表达式并对应输入变量A、B的波形画出输出变量z1~Z6的波形。 图2-22 题2-6图 2-7用基本公式和定理证明下列等式: (1);

(2); (3); (4)。 2-8列出下列各函数的真值表,并说明Z1、Z2、Z3、Z4有何关系: (l); (2); (3); (4)。 2-9逻辑函数界Z1~Z4的真值表如表2-14,试分别写出它们的标准与或式,并画出逻辑图。 表2—14 (题2—9) A B C Z1Z2Z3Z4 0 0 01010 0 0 10110 0 1 00100 0 1 11001 1 0 00101 1 0 10101 1 1 01000 1 1 10110 2-10将下列函数展开成最小项表达式: (l);

(2); (3)。 2-11写出图2-23所示逻辑函数L1、L2、L3、L4的逻辑表达式。 (a)( b ) ( c ) ( d ) 图2-23题2-10图

2-12试画出下列逻辑函数的逻辑图: (1); (2) 2-13用公式法将下列函数化简成最简与或表达式: (1); (2); (3); (4); (5)。 2-14用图形法将下列逻辑函数化成最简与或表达式: (0,2,3,4,6); (1)F(A,B,C)=Σ m (2)F(A,B,C,D)=Σ (0,I,2,8,9,I0); m (3,7,8,9,11,12,13,15);(3)F(A,B,C,D)=Σ m (0,2,5, 7, 8, 11,13,15)。(4)F(A,B,C,D)=Σ m 2-15用图形法将下列函数化成最简与或式: (1); (2)。 2-16用图形法将下列具有约束条件Σd的逻辑函数化街成为最简与或式:

8421码转余3码 quartus II (FPGA) 数字电路课程设计

FPGA 8421码转余三码

quartusII代码library ieee; use ieee.std_logic_1164.all;

use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity key_led is port ( key_in : in std_logic_vector (3 downto 0); led_out : out std_logic_vector (7 downto 0) ); end entity; architecture key_led_arch of key_led is begin process(key_in) begin case key_in is when "0000" => led_out <= "11110010"; ————3 when "0001" => led_out <= "01100110"; ————4 when "0010" => led_out <= "10110110"; ————5 when "0011" => led_out <= "10111110"; ————6 when "0100" => led_out <= "11100000"; ————7 when "0101" => led_out <= "11111110"; ————8 when "0110" => led_out <= "11110110"; ————9

when "0111" => led_out <= "11101110"; ————A when "1000" => led_out <= "00111110"; ————b when "1001" => led_out <= "10011100"; ————C when others => led_out <= "11111111";——————全亮(包括小数点)end case; end process; end architecture;

相关文档
相关文档 最新文档