文档库 最新最全的文档下载
当前位置:文档库 › 病房呼叫系统(带程序)

病房呼叫系统(带程序)

病房呼叫系统(带程序)
病房呼叫系统(带程序)

燕山大学

课程设计说明书题目:病房呼叫系统

燕山大学课程设计(论文)任务书

院(系):电气工程学院基层教学单位:电子实验中心

2014年1月10日

目录

第1章摘要 (1)

第2章引言 (1)

第3章基本原理 (1)

第4章系统设计 (1)

4.1 系统的设计要求 (1)

4.2 系统的总体设计 (2)

4.3 系统的设计思路 (2)

4.4 波形仿真 (4)

4.5 Verilog HDL源程序 (7)

4.6 管脚锁定及硬件连线 (11)

第5章总结 (13)

参考文献 (13)

第一章摘要

EDA技术,就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。

第二章引言

随着科学技术的发展,越来越多的科技设备应用到生活的方方面面,其中在医疗领域尤其多。病房呼叫系统这个设计就是应用于医院的一个简单的系统模型,其目的是为了方便医护人员更好地照顾病人,提高工作效率。病房呼叫系统的优先编码设置可以让相对更需要救助的病人第一时间得到救助,其蜂鸣器和数码管、点阵等设置可以直观的提醒医护人员是否有病房呼叫及呼叫的病房号。

第三章基本原理

病房呼叫系统共有五个部分,有病房呼叫及复位部分,动态数码管显示数字部分,双色点阵显示部分,指示灯部分以及蜂鸣器部分。根据不同的部分定义模块写程序,使系统能够实现预期的功能。

利用EDA技术进行电子系统的设计,是用软件的方式设计硬件。用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的,在设计过程中可以用相关的软件进行仿真。最后将程序下载到实验箱中用硬件模拟病房呼叫系统。

第四章病房呼叫系统的设计

4.1系统的设计要求

设计一个病房呼叫系统,该系统具有:

①5个拨码开关设置功能键,分别编号1~5对应五个病房,1号优先级最高,1~5号优先级依次降低;

②一个数码管,显示呼叫信号的号码,当没信号呼叫时显示0,有多个信号呼叫时,显示优先级最高的呼叫号;

③双色点阵,显示呼叫的病房号;

④5个指示灯,指示对应的病房有呼叫;

⑤警报器(蜂鸣器),有病房呼叫信号时响3秒;

⑥至零端,即复位功能。

4.2系统的总体设计

将该病房呼叫系统命名为top,根据该系统的设计要求,其外部端口如图1.1所示。

图1.1 病房呼叫系统的外部端口

各个I/O端口的作用:

(1)CLK为外部时钟信号,R为复位信号,高电平有效。

(2)T是一个5位输入信号,若其中某一位为高电平,表示对应病房发出呼叫信号。

(3)L是一个5位输出信号,当L输出一个高电平时,对应的红色指示灯点亮,表示对应病房有呼叫信号。

(4)ROW是一个8位输出信号,RA和GA都是5位输出信号,控制双色点阵显示呼叫信号标号。

(5)SS是一个3位输出信号,输出数码管位选信号,Q是7位输出信号,输出数码管段选信号,SS、Q控制数码管显示呼叫信号标号。

(6)SPEAKER用于控制扬声器发生,当SPEAKER=“1”时,蜂鸣器发出蜂鸣,表示有呼叫信号产生。

4.3系统的设计思路

根据设计要求,整个系统分为四个模块:①呼叫模块:发出呼叫信号,红色发光指示灯指示呼叫信号对应的病房号;②动态数码管模块:显示对应呼叫的病房号;③双色点阵模块:显示呼叫信号对应的病房号;④蜂鸣器模块:有病房呼叫时蜂鸣器响三秒。设计的总体结构如图1.2所示。下面再简要说明各组成部分的功能:

图1.2总体结构

(1)呼叫模块(call):该模块共6个输入信号,8个输出信号,其中T1到T5表示1号到5号病房,优先级从T1到T5依次降低。高电平表示病房发出呼叫,R为复位键,高电平表示发出复位信号。L1到L5控制五个红色发光指示灯,当输出高电平时指示灯点亮。C1到C3为该模块输出的优先编码信号,用于控制其他三个模块。

(2)动态数码管模块(number):该模块共4个输入信号,10个输出信号,C1到C3为从呼叫模块接受的信号,通过数码管显示对应病房呼叫号。CLK为时钟信号,控制位选扫描。SS0到SS2为输出的位选信号,选中对应的数码管显示呼叫号,在此模块中通过控制SS0、SS1、SS2的值从000到111循环让8端数码管依次循环显示,时钟频率为1KHz,位选信号每ms变化一次,便观察到8位数码管同时显示。Q1到Q7输出数码管段选信号,控制数码管显示病房呼叫号。

(3)双色点阵模块(matrix):该模块共4个输入信号,18个输出信号,C1到C3为从呼叫模块接受的信号。CLK为时钟信号,控制点阵的行列扫描。在此模块中,CLK 信号控制ROW1到ROW8依次为0,频率为1KHz,通过RA1到RA5、GA1到GA5向对应的列输出高电平,控制双色点阵显示呼叫号。其显示效果如图所示:

为了使点阵显示更加美观,在第一行增加五个

绿点,当点阵显示数字不为零时,对应绿点变

红。当双色点阵显示“0”时,其RA、GA的

赋值如右表所示。W为控制列循环的中间变

量。

(4)蜂鸣器模块(buzzer):该模块共4

个输入信号,1个输出信号,C1到C3为从呼叫模块接受的信号,当信号产生变化且不为0的时候,SPEAKER输出3秒的高电平控制蜂鸣器响三秒。CLK为1KHz的输入时钟信号,即每毫秒输出一个上升沿,设置变量N初值为3072,每个上升沿减1,当N=0时3s结束时,蜂鸣器不再响。

4.4波形仿真

4.4.1呼叫模块的波形仿真如图1.3所示。

当T1为1时,L1输出1,C[1:3]输出001,;当T2为1时,L2输出1,C[1:3]输出010,以此类推……

图1.3呼叫模块波形仿真

4.4.2动态数码管模块波形仿真如图1.4所示。

SS[2:0]为数码管位选信号,随着CLK信号上升沿依次选中8位数码管。Q[1:7]为数码管段选信号,当C[1:3]输入000时,Q[1:7]输出1111110,数码管显示“0”;当C[1:3]输入001时,Q[1:7]输出0110000,数码管显示“1”;以此类推……

图1.4动态数码管模块波形仿真

4.4.3双色点阵波形仿真如图1.5、图1.6所示。

ROW[1:8]为双色点阵行信号,随着CLK信号上升沿由各位循环为零实现行扫描?当双色点阵显示“0”时:ROW1=0时,RA[1:5]=00000?RA[1:5]=11111;ROW2=0时,RA[1:5]=00000?GA[1:5]=00000;ROW3=0时,RA[1:5]=01110?GA[1:5]=00000;ROW4=0时,RA[1:5]=01010?GA[1:5]=00000;ROW5=0时,RA[1:5]=01010?GA[1:5]=00000;ROW6=0时,RA[1:5]=01010?GA[1:5]=00000;ROW7=0时,RA[1:5]=01110?GA[1:5]=00000;ROW8=0时,RA[1:5]=00000?GA[1:5]=00000?当双色点阵显示“1”时,与显示“0”时类似,红色点处列信号RA对应为1,绿色点处列信号GA对应为1?

图1.5双色点阵显示0时的波形仿真

图1.6双色点阵显示1时的波形仿真

4.4.4蜂鸣器模块波形仿真如图1.7所示

当输入信号C[1:3]为001时,SPEAKER输出1并持续3秒,当输入信号变化时SPEAKER 继续输出1并持续三秒。图中忽略了计时用的CLK信号。

图1.7蜂鸣器模块波形仿真

4.4.5总体结构波形仿真如图1.8所示

图1.8总体结构波形仿真图

4.5Verilog HDL源程序

/*呼叫模块*/

module call(R,T,C,L);

input R;

input [1:5]T;//对应五个病房

output [1:3]C;//优先编码输出

output [1:5]L;//红色发光指示灯

reg [1:3]C;

reg [1:5]L;

always@(R or T)

begin

casex({R,T})

'b1XXXXX: begin C='b000;L='b00000;end

'b000000: begin C='b000;L='b00000;end

'b01XXXX: begin C='b001;L='b10000;end

'b001XXX: begin C='b010;L='b01000;end

'b0001XX: begin C='b011;L='b00100;end

'b00001X: begin C='b100;L='b00010;end

'b000001: begin C='b101;L='b00001;end

endcase

end

endmodule

/*数码管模块*/

module number(SS,C,Q,CLK);

input [1:3]C;

input CLK;

output [2:0]SS;

output [1:7]Q;

reg [2:0]SS;//定义位选寄存器

reg [1:7]Q;//定义数选寄存器

reg [0:2]WX;

always@(posedge CLK)

begin

if(WX=='b111)

begin

SS<='b111;//位选赋值

WX<='b000;

end

else

SS<=WX;

WX<=WX+1;

end

always@(C)

begin

case(C)

'b000:Q='b1111110;

'b001:Q='b0110000;

'b010:Q='b1101101;

'b011:Q='b1111001;

'b100:Q='b0110011;

'b101:Q='b1011011;

endcase

end

endmodule

/*双色点阵模块*/

module matrix(C,ROW,RA,GA,CLK);

input [1:3]C;

input CLK;

output [1:8]ROW;

output [1:5]RA;

output [1:5]GA;

reg [1:8]ROW;

reg [1:5]RA;

reg [1:5]GA;

reg [0:2]S;

reg [0:2]W;

always@(posedge CLK)

begin

if(S=='b111)

S='b000;

else

S=S+1;

case(S)

'b000:ROW='b01111111;

'b001:ROW='b10111111;

'b010:ROW='b11011111;

'b011:ROW='b11101111;

'b100:ROW='b11110111;

'b101:ROW='b11111011;

'b110:ROW='b11111101;

'b111:ROW='b11111110;

endcase

end

always@(posedge CLK)

begin

if(W=='b111)

W='b000;

else

W=W+1;

case({C,W})

/*双色点阵显示0*/

'b000000:begin RA='b00000;GA='b11111;end 'b000001:begin RA='b00000;GA='b00000;end

'b000011:begin RA='b01010;GA='b00000;end 'b000100:begin RA='b01010;GA='b00000;end 'b000101:begin RA='b01010;GA='b00000;end 'b000110:begin RA='b01110;GA='b00000;end 'b000111:begin RA='b00000;GA='b00000;end /*双色点阵显示1*/

'b001000:begin RA='b10000;GA='b01111;end 'b001001:begin RA='b00000;GA='b00000;end 'b001010:begin RA='b00100;GA='b00000;end 'b001011:begin RA='b01100;GA='b00000;end 'b001100:begin RA='b00100;GA='b00000;end 'b001101:begin RA='b00100;GA='b00000;end 'b001110:begin RA='b01110;GA='b00000;end 'b001111:begin RA='b00000;GA='b00000;end /*双色点阵显示2*/

'b010000:begin RA='b01000;GA='b10111;end 'b010001:begin RA='b00000;GA='b00000;end 'b010010:begin RA='b01110;GA='b00000;end 'b010011:begin RA='b00010;GA='b00000;end 'b010100:begin RA='b01110;GA='b00000;end 'b010101:begin RA='b01000;GA='b00000;end 'b010110:begin RA='b01110;GA='b00000;end 'b010111:begin RA='b00000;GA='b00000;end /*双色点阵显示3*/

'b011000:begin RA='b00100;GA='b11011;end 'b011001:begin RA='b00000;GA='b00000;end 'b011010:begin RA='b01110;GA='b00000;end 'b011011:begin RA='b00010;GA='b00000;end 'b011100:begin RA='b01110;GA='b00000;end 'b011101:begin RA='b00010;GA='b00000;end 'b011110:begin RA='b01110;GA='b00000;end 'b011111:begin RA='b00000;GA='b00000;end /*双色点阵显示4*/

'b100000:begin RA='b00010;GA='b11101;end 'b100001:begin RA='b00000;GA='b00000;end 'b100010:begin RA='b01010;GA='b00000;end 'b100011:begin RA='b01010;GA='b00000;end 'b100100:begin RA='b01110;GA='b00000;end

'b100110:begin RA='b00010;GA='b00000;end

'b100111:begin RA='b00000;GA='b00000;end

/*双色点阵显示5*/

'b101000:begin RA='b00001;GA='b11110;end

'b101001:begin RA='b00000;GA='b00000;end

'b101010:begin RA='b01110;GA='b00000;end

'b101011:begin RA='b01000;GA='b00000;end

'b101100:begin RA='b01110;GA='b00000;end

'b101101:begin RA='b00010;GA='b00000;end

'b101110:begin RA='b01110;GA='b00000;end

'b101111:begin RA='b00000;GA='b00000;end

endcase

end

endmodule

/*蜂鸣器模块*/

module buzzer(CLK,C,SPEAKER);

input CLK;

input [1:3]C;

output SPEAKER;

integer N;//定义变量N用于蜂鸣器计时

reg [1:3]M;//定义寄存器M存放C的状态用以判断C是否产生变化

reg SPEAKER;

always@(posedge CLK)

begin

if(C==0)begin N<=3000;SPEAKER<=0;M<=0;end

else

if(C!=M)

begin

N<=N-1;

if(N>0) SPEAKER<=1;

else begin SPEAKER<=0;N<=3000;M<=C;end/*当C变化之后小于以前那个值蜂鸣三秒,即多个输入信号T同时存在时,若优先级较高的输入消失,系统检测到新的输入,

蜂鸣器响三秒*/

end

end

endmodule

/*顶层模块*/

module top(R,T,CLK,L,ROW,RA,GA,SS,Q,SPEAKER);

input R;

input [1:5]T;

input CLK;

output [1:5]L;

output [1:8]ROW;

output [1:5]RA;

output [1:5]GA;

output [2:0]SS;

output [1:7]Q;

output SPEAKER;

wire [1:3]X1;

call u1(.R(R),.T(T),.L(L),.C(X1));

number u2(.CLK(CLK),.C(X1),.SS(SS),.Q(Q));

matrix u3(.CLK(CLK),.C(X1),.ROW(ROW),.RA(RA),.GA(GA)); buzzer u4(.CLK(CLK),.C(X1),.SPEAKER(SPEAKER));

endmodule

硬件连线:蜂鸣器,拨码开关和红色指示灯内锁,不需要外部接线;

SS0、SS1、SS2接实验箱动态数码管SS0、SS1、SS2;

Q1~Q7接动态数码管段位引线A、B、C、D、E、F、G;

RA1~RA5接实验箱双色点阵RA1~RA5;

GA1~GA5接实验箱双色点阵GA1~GA5;

ROW1~ROW8接实验箱双色点阵ROW1~ROW8。

第五章总结

本次课设是利用硬件描述语言Verilog HDL进行的,由于采用Verilog进行电路设计与工艺性无关,所以在进行电路设计时不需要过多的考虑工艺实现的具体细节,只需利用计算机的强大功能,在EDA工具的支持下,通过Verilog HDL的描述,完成数字电路和系统的设计。

这个病房呼叫系统的设计所分的四个模块,都是利用了硬件描述语言直接进行描述,例如第一个呼叫模块,其优先编码设置并没有考虑使用优先编码器,而是直接编程设计使模块接收到输入信号时能够给出需要的输出信号。其他模块例如数码管模块,双色点阵模块和蜂鸣器模块都可以直接编程进行控制而不需要其他电子器件,这正是EDA设计的优势所在。

在整个设计的过程当中,了解了EDA的基本知识,学会了使用软件Max+PlusⅡ,学会使用Verilog HDL语言进行硬件编程及调试,对硬件设计有了一个更深层次的理解。对于EDA课程设计这门课,应该有更多的个人思考,更多的动手实践,在不断地思考中得到新知,在查找错误的过程中积累经验,为以后更深入、更高级的设计打下基础。

参考文献

《数字电子技术基础》.阎石主编.高等教育出版社.

《EDA课程设计A指导书》.郑兆兆编

燕山大学课程设计评审意见表

医院病房呼叫器的设计_绝对经典

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]:高等教育,2006年 2. 阎石,数字电子技术(第五版).[M]:高等教育,2005. 3. 孝彬《555集成电路实用电路集》高等教育2002-8 4. 王刚《TTL集成电路应用》机械工业2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图1 病房呼叫系统电路的原理框图 三、单元电路设计 1、指示灯显示模块

病房呼叫系统设计报告

病房呼叫系统设计报告 一、设计要求 (2) 二、设计的具体实现 (2) 1、系统概述 (2) 2、单元电路设计与分析 (4) 2.1 5秒呼叫模块 (4) 2.2 呼叫显示模块 (6) 2.3 优先显示模块 (7)

一、设计要求 此设计是用于医院病人的紧急呼叫,其设计要求如下: 1.当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出5秒呼救声,等待医护人员来护理。 2.按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。 3.当医护人员处理完最高级别呼救后,按下清零键,系统按优先等级先后显示其他病人编号。 二、设计的具体实现 1.系统概述 本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。由呼叫信号的锁存,CD4532优先编码,由744511译码显示和逻辑控制清除几部分构成,其核心在CD4532优先编码器。 方案:病房呼叫系统的逻辑门电路如图1.1所示。它由模拟开关、优先编码器、锁存器、数码管、逻辑门、信号灯、单稳态触发器、蜂鸣器组成。模拟病房号通过优先编码器显示优先级最高的病床号。并且通过锁存器储存起来,按R键将清除已处理的信号。 病房呼叫系统的逻辑方框图

由上述图文说明可看出此方案能够对最优先级别的呼叫信号进行处理,编码和译码,最后显示出来。在完成最优先级呼叫信号的处理之后,可以通过医护人员手动复位,从而对其他信号的处理。 工作原理:用D锁存器锁存,再用一个8线-3线优先编码器4532对病房号编码,再用译码器4511译出最高级的病房号。当有病房号呼叫时,通过译码器和逻辑门触发(由555构成的单稳触发器)从而控制蜂鸣器发出5秒钟的呼叫声。呼叫信号控制晶闸管从而控制病房报警灯的关亮。若有多个病房同时呼叫,待医护人员处置好最高级的病房后,由人工将系统的复位(手动)。 工作流程图

病房呼叫系统方案

呼叫系统方案 主机与病员一览表合二为一,并设有万年历、呼叫床位指示灯和病房床位数码显示窗口,外壳超薄型设计,造型特别精巧,可壁挂、桌面任意放置;分机采用最新编码电路编号,变更床号只需更换编码芯片即可,外壳采用了全塑外扣式设计,适用于任何形式的安装配套;分机为内压线式接线,实现了房间内无断线的连接。使用、安装、维护更安全更方便。: 1、该医院呼叫系统双向呼叫、双功通话:分机可呼叫主机,主机也可呼叫分机,送话受话无需转换。 2、主机多功能显示:主机可显示万年历,护理等级,呼叫床号、序号等。 3、三级护理设置:可根据病员病情任意设置高、中、低级护理床位,并在主机上有不同颜色的灯显示。 4、高级优先:高级病员的呼叫可以中断低级病员的通话。 5、话筒统播:可通过主话机作下通知、找人等统播。 6、宣教广播:可接入录音机等信号源作宣传教育广播。 7、主机免提:分机呼入时主机可免提与分机对讲。 8、无中断呼叫:无论在通话、广播等状态只要有呼叫均能呼入并给出显示。 9、呼叫存储:分机呼叫而主机无人接时主机将该分机号存储。 10、走廊显示屏:可显示呼叫分机号、存贮分机号和呼叫序号;无呼叫存贮时显示时间。 11、与计算机连网:由计算机将病员的呼叫时间、呼叫次数、处理时间、超时处理等进行统计,为考核服务质量提供技术上的保证。 12、故障自检报警:当系统出现故障时主机显示窗口及显示屏均能给出数字显示,并伴有声响报警提示: 1、主机最大分机容量:50门、60门 2、最长连线距离:800米 3、最大存储量:9个 4、电源:220V±10%、50Hz 5、工作方式:连续 6、功耗:<20W 7、总线电压:18V 8、工作条件:环境温度0~40℃相对湿度≤80% 医院呼叫系统使用方法: 1、分机呼叫主机:按一病房呼叫系统分机床头垂线呼叫按钮。分机上“叫通指示”灯点亮主机发出音乐振铃声,病员一览表相对应的卡片上有灯光闪烁,同时分机也伴有音乐振铃声。数码显示窗口上显示该分机号。在音乐振铃期间,护士摘起主话机即可与病员通话,通话结束,将主话机挂机,系统恢复待机状态。如果直到振铃结束,护士未摘主话机,主机将该分机号存贮,若想再与该分机通话,需按主机呼叫分机操作。 2、主机呼叫分机:摘起主话机按一下欲呼叫的床位对应的小按钮或是在主话机上键入两位分机号(1-9号应按01-09),被叫通的分机将发出“嘟”一声提示音表示已接通。如果所拨叫的分机不存在,听筒里将发出“嘀、嘀、嘀、嘀—”的声音作为提示,然后重新给出拨号

某医院呼叫对讲系统说明概览

广西**有限公司 **市医院 病房呼叫系统 方案说明 2016年04月

目录 一、前言 二、设计说明 三、功能说明 四、工程业绩

一、前言 **市医院作为**市信息化建设浪潮中一颗耀眼的明珠,对**市医疗服务的提高将起到不可估量的作用。 作为**市对外的一个窗口,**市医院将展示其作为现代化新兴医院独特的一面,业务量将与日俱增,无论从业务处理还是从内部管理都需要更加先进、稳定、可靠的,可以满足现在及未来之需要的病房呼叫系统。利用该系统提高业务处理能力,同时为所有病人提供更多更好的服务。 我们深信,本设计方案必定可以充分考虑到**市医院在业务处理及内部管理上的各项需要。更重要的是利用我们的专业服务精神,使贵医院的种种设想一一得以实现。 在本设计方案中,我们会逐一说明如下各个要点,已作出符合贵医院经济效益、社会效益及未来发展之需要: 1、充分考虑及了解贵医院的实际需要; 2、在保护投资的前提下,为贵医院提供具备扩充能力及极有 前途的方案建议,以配合未来业务发展之需要; 3、提供完善的售后服务,包括硬件维护、系统实施后对系统 修改等服务。 我们目标非常清晰、明确,就是向贵医院提供实用、先进而符合经济及社会效益的方案建议。我们深信本设计方案必定满足贵医院在管理上的需要,更希望双方能通过这个项目的合作,为双方建立长久及互惠互利的友好合作关系。

二、设计说明 医护对讲系统发展到今天,已经不再是简单的医患之间沟通的工具,在实际应用当中应兼顾到医院的整体设计,既有特色又具有良好实用性、装饰性的设备将得到越来越广泛的应用。我厂设计的病房呼叫系统是引进国际最新理念,并为国内首创的系统,系统可实现医护人员与住院患者之间直接的、可靠的信息联络。除常规的双向传呼、双向对讲、紧急呼叫优先功能外,新增了终端设备在线编码、床头灯光控制、医护人员护理、呼叫信息储存、无线传呼、输液监护仪远程报警等实用、先进功能。 三、功能说明 1.系统组成:主要有主设备、显示终端、使用终端及系统线路等组 成。 主设备:系统主机(含系统数据处理器)、入住人员一览表。 显示终端:时钟/床号显示屏。 使用终端:对讲分机、按压式卫生间分机。 2.系统设计: 1)传输方式:总线输出。 2)主设备的设置:在护士站工作台安装系统主机、入住人员一览表。 3)显示终端的设置:在病区两边走廊安装时钟/床号显示屏。 4)使用终端的设置:在病房设备带安装对讲分机,卫生间安装按压式卫生间分机。 3.单项设备说明: 1)电话型系统主机:TC-2000A *免提双向呼叫对讲; *可连接99台终端; *数码循环显示呼叫房号、床号; *菜单式的功能设置,使用操作方便、简单; *可根据用户使用习惯设置分机号码显示方式; *可根据病人情况设置护理级别:普通或紧急呼叫; *多首和弦音乐振铃,个性化铃音选择; *振铃音量无级可调; *在线分机号码设定; *可连接多块走廊显示屏;

病房呼叫系统设计

《病房呼叫系统EDA》 设计报告 题目:病房呼叫系统设计 学院:电子信息与电气工程学院专业:电子信息工程 班级: 姓名: 学号:

目录 一、设计要求 (2) 二、病房呼叫系统设计背景及其研究意义 (2) 三、设计思路 (2) 四、基本原理 (3) 五、设计内容及步骤 (3) 六、对设计的体会与感想 (9) 七、参考文献 (10)

病房呼叫系统设计 一、设计要求 1、用1~6个开关模拟6个病房的呼叫输入信号,1号优先级最高;1~6优先级依次降低; 2、用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3、凡有呼叫发出5秒的呼叫声; 4、对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、病房呼叫系统设计背景及其研究意义 随着科学技术的发展,越来越多的科技设备应用到生活的方方面面,其中在医疗领域尤其多。病房呼叫系统这个设计就是应用于医院的一个简单的系统模型,其目的是为了方便医护人员更好地照顾病人,提高工作效率。病房呼叫系统的优先编码设置可以让相对更需要救助的病人第一时间得到救助,其蜂鸣器和数码管、等设置可以直观的提醒医护人员是否有病房呼叫及呼叫的病房号。 医院,在当代生活中已是必不可少,它在人们的生活中越来越扮演着重要角色,医院让我们的生活更加安心。医院的医学技术与科技设备齐全是人们生活健康的保障,而病房呼叫系统在医院病房更加必不可少,它关系的病人的安危,完善的病房呼叫系统让病人更加安心。 三、设计思路 本次课程设计的题目是病房呼叫系统,有六个病房,分别编号为1、2、3、4、5、6,其优先级依次降低。即当一号病房有信号输出的时候,即使其他病房有信号输出系统也不会响应。当二号病房有信号

病房呼叫系统

燕山大学 EDA课程设计报告书 题目:病房呼叫系统 一、设计题目及要求 1.用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低; 2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号,低级别呼叫号用LED指示灯显示; 3.凡有呼叫发出2秒的呼叫声,然后重复播放如下一段音乐,用一个数码管显示乐谱;1155 665- 4433 221- 5544 332- 5544 332- 附音符频率表: 音调频率(Hz) C(高音) 261.63x2 B 493.88 A 440.00 G 392.00 F 349.23 E 329.63 D 293.66 C 261.63 4.设置护士按钮,按下一次,清除当前高优先级呼叫(即表示处理),并终止演奏。 5.有多个呼叫时,先对低优先级的呼叫进行存储,处理完高级别后,数码管显示低级别呼叫号,然后再行处理,依次类推。

二、设计过程及内容(包括○1总体设计的文字描述,即由哪几个部分构成的,各个部分的功能及如何实现方法;○2主要模块比较详尽的文字描述,并配以必要的图片加以说明,但图片数量无需太多)整体电路由病人呼叫护士处理模块(nuesecontrol)、优先选择模块(priority)、扫描电路模块、分频模块,以及呼叫2秒模块和自动演奏(automisic)模块。总电路图如下: 1.病人护士处理模块较为简单,首先是一个由D触发器构成的防抖电路,用于消除按键时的抖动。然后再连一个D触发器,用于存储病人的信号;同时护士会有一个控制端,当病人信号处理后,护士通过nurse清零端给信号清零。 2.优先级模块是当有多个病房同时呼叫时,将会优先显示高级别信号。所以用了一个74LS148优先编码器,再经过组合逻辑电路,得到所要的反码可以接在7448数码管编译出来。因为1号病房优先级最高,而编码器是7N端优先级最高,并且是低电平有效。下图则是将1号端出来的高电平信号经过反相器接在6N端,则1号的信号会优先处理,然后经过逻辑电路和数码管,便可显示数字1。 3.扫描模块是因为实验箱虽然有8个数码管,但是只有a`~g7个接口,只能使一个数码管显示,而之后的自动播放音乐需要显示乐谱,还需要用到数码管,所以要做一个扫描电路。由于需要用到2个数码管,可以用74160连一个2进制计数器,然后控制两个74151数据选择器,再接到数码管上(图里是直接做了一个8进制计数器)。C0B0A0和C1B1A1分别接到得到的反码上。然后数码管可以显示病房呼叫号和乐谱。 4.分频模块有很多个,主要是对366Hz分频和对23.438kHz分频,分频模块主要用到了74160计数器,通过给置数端进行置数,得到所需要的进制数,例如对366Hz进行366分频,就可以用设计一个366进制的计数器,得到1Hz的频率,但是经过实际仿真的时候,发现设计成367进制时,频率更接近1Hz。下图给出了一个366分频,其它分频电路类似。 5.呼叫2秒也是一个简单模块,利用之前的74148优先编码器的EON 端,当有病房呼叫时,优先编码器开始工作,EON由0变为1,给了T 触发器一个上升沿信号,Q端变为高电平,控制蜂鸣器发声和由74160构成的二进制计数器工作,2秒后,计数器给出进位信号,使T触发器Q端清零,蜂鸣器停止鸣叫。 6.自动播放音乐模块比较复杂,主要是由3个小模块构成,32位循环顺序脉冲模块,控制乐谱显示模块和分频模块。 自动播放音乐模块如下图: 仿真图: 32位循环顺序脉冲发射器模块的作用是将自动播放的歌的乐谱,共32个音符,一一选择出来。先是由一个16Hz的时钟信号分别接入两个8进制计数器(已经封装成模块),上面的计数器的功能是得到

数电病房呼叫系统设计

病房呼叫系统设计 某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。 现要求当一号病室的按钮按下时,无论其它病室的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的按钮是否按下,只有三号灯亮。只有在一、二、三号病室的按钮均未按下而按下四号病室的按钮时,四号灯才亮。试用优先编码器74LS148和门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。 一、实验目的: (1)、熟悉优先编码器的优先功能及应用。 (2)、掌握译码显示电路设计。 二、实验内容: 试用优先编码器74LS148芯片和必要的门电路设计一个病房呼叫系统。具体要求如下: (1)、共有一、二、三、四号病房,每个房间装有呼叫按钮。(2)、各病室的呼叫优先权不同,其中,一号病室的优先权最高,四号病室最低。 (3)、在护士值班室内有相应的显示电路,能看到当前呼叫病室的房间号。

三、实验原理: 优先编码74LS148的原理图如图1所示,其功能表如图2所示。 74LS00管脚图 74LS148管脚图

74LS148的功能表 四、实验器材: (1)、数字电路实验箱一台(2)、元器件 集成门电路:74LS00等若干 优先编码器74LS148芯片 1片 按键开关 4个 共阴极七段数码管 1位 蜂鸣器或扬声器 1个 LED指示灯 1个 电阻若干 五、求解:

解:输入变量:A1’,A2’,A3’,A4’;0:按下按钮,1:没有按下; 输出变量:Z1,Z2,Z3,Z4;1:灯亮,0:灯不亮; A1' A2' A3' A4'Y2 Y1 Y0 Y2' Y1' Y0'Z1 Z2 Z3 Z4 0 X X X 0 1 1 1 0 0 1 0 0 0 1 0 X X 0 1 0 1 0 1 0 1 0 0 1 1 0 X 0 0 1 1 1 0 0 0 1 0 1 1 1 0 0 0 0 1 1 1 0 0 0 1 由真值表写出: Z1=Y2'(Y1')'(Y0')'=Y2'Y1 Y0 Z2=Y2'(Y1')'Y0'=Y2'Y1 Y0' Z3=Y2'Y1'(Y0')'=Y2'Y1'Y0 Z4=Y2'Y1'Y0' 据此画出如图所示的电路连接图: 六、注意事项: 接线时要注意各芯片及数码管输入端的位权顺序。

医院病房呼叫器的设计绝对经典

医院病房呼叫器的设计 绝对经典 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

课程设计任务书 课程名称电子线路课程设计 课程设计题目医院病房呼叫器的设计 课程设计的内容及要求: 一、设计说明与技术指标 1.用1~4个开关模拟4个病房的呼叫输入信号,1号优先级最高;1~4优先级依次降低; 2.用数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 2. 阎石,数字电子技术(第五版).[M]北京:高等教育出版社,2005. 3. 陈孝彬《555集成电路实用电路集》高等教育出版社2002-8 4. 王刚《TTL集成电路应用》机械工业出版社 2000-10 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日 一、概述

本设计的主要目的是实现一个当医院病房发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。用于医院病房需要呼叫具有优先级别的呼叫系统。当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。使用该系统,不仅能够提高医生的工作效率,便于医生及时了解病人的实际状况,还能够让病人的需要及时得到满足。 二、方案论证 根据设计要求,将此设计分为几个模块来设计,分别为:指示灯显示模块,优先显示模块,报警模块。首先用四个开关来代替四个病房的呼叫按钮,四个二极管灯代表四个病房,当开关闭合后,对应的病房的灯发光,然后利用与非门74LS30的功能,当其输出为高电平时,就会使NE555芯片产生脉冲信号,然后使NE555芯片的out 输出端产生高电平,促使报警器报警,根据NE555芯片的外接电阻和电容的大小,可调整报警器的报警时间。当开关断开时,即74LS30输出为低电平,所以NE555芯片没有被触发,其out 输出端为低电平,报警器没有报警,也就是病房没有病人呼叫,一切正常。开关闭合后,将经过存储的信号送入优先编码器74HC148,根据优先编码器的优先选择功能选出优先级最高的呼叫信号,再通过译码器74LS48译码,最后通过数码管显示报警的病房,然后医生会及时的根据报警情况去查看病人。此方案的论证流程图如图2.1。 图 1 病房呼叫系统电路的原理框图 三、单元电路设计

病房呼叫系统的PLC设计-毕业设计

病房呼叫系统的PLC设计 目录 一、概述 (2) 1、可编程控制器简介 (2) 2、可编程控制器的发展 (2) 3、可编程序控制器的基本结构及工作原理 (2) 二、课题背景 (3) 1、课题背景 (3) 2、研究的目的和意义 (3) 三、已知情况、控制要求、设计任务 (4) 1、已知情况 (4) 2、控制要求 (4) 3、设计任务 (4) 四、总体设计思路 (5) 五、程序设计与调试 (5) 1、I/O分配 (5) 2、实现功能图 (8) 3、外部接线图 (8) 4、梯形图 (12) 5指令表 (14) 6、运行及调试 (18) 六、课程设计总结 (19) 七、致谢 (20)

八、参考文献 (20) 摘要 在现代医院的医疗程序管理当中,拥有先进及现代化的病床呼叫中心系统能够更好地去完善社会上各家医院的医疗服务体系,从而提升医院的名誉、地位。在以往的医疗进程管理体系里,当发生紧急事故或者需要呼叫护士的服务时,病人可能会因为继电器机械腐蚀的原因而无法有效及时地向候命的护士发出求助信号,从而导致一些不可挽回的医疗事故。在本次机电综合课程设计中,我对医疗建设中的病床呼叫系统进行了基于可编程控制器的改良系统设计。众所周知,可编程序控制器,也就是PLC,具有响应速度快,控制简单,易于编程等优点。利用这些优点,将PLC控制系统融入病床呼叫控制系统的设计,就能够大大提升护士处理病人需求、处理紧急病情的效率,同时减少医疗事故发生的几率。由于每一张病床的控制流程都是相同的,所以在进行系统程序设计的时候,只需要设计出一个响应模式,其他的病床只要套用这个模式去编写程序了。 关键词:医疗病床呼叫可编程控制器

05病房呼叫系统方案

目录 病房呼叫系统 (1) 1系统概述 (1) 2需求分析 (2) 3设计依据与设计原则 (2) 4系统设计说明 (3) 4.1 系统的基本组成 (3) 4.2 系统的基本功能 (3) 4.3 系统点位设置 (4) 5系统主要设备介绍 (5) 5.1 三十路对讲主机(型号:NBW-30) (5) 5.2 三十路病员一览表(型号:NBW-30P) (5) 5.3 嵌入式分机(型号:NBW-A) (6) 5.4 防水报警开关(型号:NBW-AN) (6) 5.5 输液报警器(型号:NBW-G) (6) 5.6 外配电源(型号:NBW-B-05) (7) 5.7 小门灯(型号:NBW-M) (7) 5.8 无线发射机(型号:NBW-T) (7) 5.9 无线接收机(型号:NBW-R) (8)

病房呼叫系统 1系统概述 对讲-医院护理对讲系统(双工、两芯总线制)主要用在:医院、疗养院、敬老院等需要护理对讲的场所。 社会不断的进步。物质生活和精神生活越来越丰富多彩。健康快乐的生活是每一个人的愿望。但疾病一直以来伴随着人类。每个人或多或少的会生病。就要看病、打针、吃药、住院。医院也不断地完善着医护人员医技、服务质量和医疗设备。以服务于大众。提高人们的生活质量。真正做到有病医得起、看得好。创造出一个和谐的社会。 在医疗设备方面。医护对讲系统是医院不可或缺的通信设备。医院护理对讲系统采用两芯总线制系统架构。方便施工。根据不同的机型每条总线可并接30或60台分机。分机之间是平级关系。在总线上发送数字脉冲地址码。以便于被主机识别。主机提供485通信接口。可以连接射频发射机、显示屏、监控统计集线器。医护人员在巡视过程中。可以利用携带的射频接收机接受射频发射机传来的数据。以确定病床呼叫。走廊显示屏提供警示作用。能够显示呼叫分机号码便于医护人员查看。系统每日的通信信息可以通过监控统计集线器接入计算机。进行数据处理。可以表格形式打印。以便管理人员查询。输液报警器是医护对讲系统中的新产品。配合分机使用。输液管夹装在其中。当输液完毕时有“Bi、Bi”报警提示声,输液报警器同时自动阻断输液管。并通过分机向护士站的主机发送输液完毕报警信号。单独使用时,需另配电源。为了医院管理的方便。系统主机提供并接功能。最多可实现四级管理。 医院护理对讲系统电路设计合理、工作稳定、维护率低。是医院理想的通信设备。系统包括有多种容量的对讲主机,如三十路对讲主机、三十路病员一览表、六十路对讲主机、六十路病员一览表,超薄分机、嵌入式分机、防水开关、输液报警器、外配电源、小门灯、点阵双面走廊显示屏、无线发射机、无线接收机、监控统计集线盒、监控统计软件等构成。

EDA课程设计--病房呼叫系统地设计

病房呼叫系统的设计 1 设计要求 1.用5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1到5优先级依次降低; 2.用一个数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。 2 设计思路及方案 用74148优先编码器来实现病房呼叫的优先显示,用7447七段字形译码器接半导体数码管来用数字显示最高优先级的病房号,用计数器74193来实现模五的计数器,调节clock输入脉冲的频率来实现五秒的控制来实现闪烁五秒。再用D触发器来实现锁存和复位清零功能。 3 设计过程 3.1 优先编码和数据清零模块 优先编码和数据清零模块的电路图如图1所示。

图1 优先编码和数据清零模块 该病房呼叫系统一共有6个输入,分别是1~5号病房的呼叫开关 num1~num5和1个复位清零健action(初始工作时至“1”),这六个开关配合D触发器来实现清零复位。由于74148低电平有效,所以输入74148前先用非门取反,此电路用到的74148管脚输入对应输出真值表如表1所示。 表1 74148输入输出真值表 输入输出X X X X 0 0 0 1 X X X 0 1 0 1 0 X X 0 1 1 0 1 1 X 0 1 1 1 1 0 0 0 1 1 1 1 1 0 1

模五计数器电路图如图2所示。 图2 模五计数器电路图 如图先将74193接成模五计数器,当有病房呼叫时CLR为0,计数器计数,当没有病房呼叫时计数器清零,通过调节clock输入脉冲的频率来实现闪烁五秒的控制。 3.3 显示病房号功能 显示病房号功能的电路图如图3所示。 图3 显示病房号的电路图 说明:该模块的输入为74148的输入,通过7447七段字形译码器进译码,将结果输出到半导体数码管进行显示。

病房呼叫系统

电气工程学院 数字电子电路课程设计报告书 姓名:XX 班级:XXXXXXXXXXXXX 学号:XXXXXXXX 完成日期:XXXXXXXXXXXX

目录 设计要求--------------------------------------------------------------------------3 一、整体设计构想--------------------------------------------------------------3 二、仿真软件简要介绍--------------------------------------------------------3 三、系统分模块设计过程-----------------------------------------------------3 1、开关控制指示灯模块---------------------------------------------------------------3 2、编码器与译码器转换模块----------------------------------------------------------4 3、数码管显示模块-------------------------------------------------------------------7 4、蜂鸣器呼叫模块-------------------------------------------------------------------7 四、系统完成电路图-----------------------------------------------------------8 五、课程设计总结--------------------------------------------------------------9

医院病房呼叫器的使用注意事项

病房呼叫系统使用方法: 1、分机呼叫主机:按一病房呼叫系统分机床头垂线呼叫按钮。分机上“叫通指示”灯点亮主机发出音乐振铃声,病员一览表相对应的卡片上有灯光闪烁,同时分机也伴有音乐振铃声。数码显示窗口上显示该分机号。在音乐振铃期间,护士摘起主话机即可与病员通话,通话结束,将主话机挂机,系统恢复待机状态。如果直到振铃结束,护士未摘主话机,主机将该分机号存贮,若想再与该分机通话,需按主机呼叫分机操作。 2、主机呼叫分机:摘起主话机按一下欲呼叫的床位对应的小按钮或是在主话机上键入两位分机号,被叫通的分机将发出“嘟”一声提示音表示已接通。如果所拨叫的分机不存在,听筒里将发出“嘀、嘀、嘀、嘀—”的声音作为提示,然后重新给出拨号音,您可以重新拨叫。 3、呼叫存贮与清除:分机呼叫主机而主机无人处理时,主机将该分机号存贮,最多可存贮9个。存贮的分机上“叫通指示”灯点亮。一览表上对应指示灯闪亮,数码显示窗口及走廊显示屏轮翻显示所存贮的内容。第一位数是存贮顺序,数字越大,存贮的越早;后两位为分机号。欲清除存贮的内容方法有以下几个:第一、在待机状态按一下一览表上对应按钮;第二、按一下分机上的清除按钮;第三、主机可以拨叫分机并与其通话。 4、护理级别设定:在待机状态按一下特护设定键,对应指示灯点亮表示已进入设定状态,再按一下特护设定按键,对应指示灯熄灭,系统进入待机状态,并将您设定的床位永久地保存(停电不丢失)。直到您下次重新设定。如果键入一次后1分钟内无新的键入主机将现有设定保存,并恢复到待机状态。 5、话筒统播:摘起主话机按一下“话筒统播”按键(或是在主话机上键入“77”键),对应指示灯点亮,数码窗口显示“77”即可。主要用于下通知,找人等使用。挂机即恢复待机状态。

病房呼叫系统课程设计实验报告

科技大学 数字电子技术课程设计 题目: 病房呼叫系统 姓名: 汤智 专业: 电子科学与技术 班级: 112 学号: 1886110220 指导教师: 2013年06月15日 科技大学理学院

病房呼叫系统 一课程设计题目与实习目的 课程设计题目:病房呼叫系统 实习目的: 1.掌握数字电路课程所学的理论知识以及数字电子技术在生活中的应用。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.进一步深化对电子技术的了解,强化实际动手操作能力以及发现问题解决问题的方法。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验是大学中为我们提供的一次动手实践的机会,增强实际动手操作与研发的能力。 二任务和要求 要求: 1.用1~5个开关模拟5个病房的呼叫输入信号,5号优先级最高;1~5优先级依次升高; 2.用一个数码管显示呼叫信号的;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示); 3.凡有呼叫发出5秒的呼叫声; 4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。 三总体方案的选择 病房呼叫系统整体思路为: ●LED指示灯显示病房模块 ●数码管优先显示重病房模块 ●蜂鸣器报警5秒模块 首先由病人按下呼叫器开关,即逻辑数据开关,(1)进入第一模块,通 过LED指示灯显示病人呼叫的病房,(2)进入第二模块,传输到一个编 码器进行编码,我这里选用的是74LS148,8线—3线优先编码器,其低 电平为有效输入;然后再进行译码输出,我选用的是74LS48,为共阴极 7段译码/驱动器,74LS148输出为反码输出,遂在74LS48前加上反向器

病房呼叫系统施工方案

病房呼叫系统施工方案 Company number:【0089WT-8898YT-W8CCB-BUUT-202108】

1.3. 2.6 病房呼叫系统施工方案 病房呼叫系统主要用于医院护理区、以沟通住院病人与医护人员的联络,提高医院的护理水平,减轻护士劳动强度,使病人能得到良好与及时的医疗和护理服务,为医院上等级而开发的配套产品。 本系统由对讲主机、分机和走廊大显示屏组成。本系统每台主机和各分机之间使用两根总线并联,不分极性,施工既简单又节省材料。用户可自由选择用明装分机或暗装分机。每张病床设分机一个,连接手持式呼叫按钮,放音逼真、清晰。主机设置于护士站内,每个护士站设一台,在走廊内设一块四位、双面走道显示屏,平时显示时间,有病床呼叫护士时,走廊显示屏显示呼叫病床床号。 本系统二层母婴同室护理单元、四至十一层标准护理单元每个护士站配置一台90路对讲型主机,在走廊中间位置设一块双面显示屏;病区每张病床安装一只对讲分机,在每间病房的卫生间内安装一只防水开关,每间病房门口设一只门灯,每张病床安装的对讲分机为超薄型分机,安装在病房设备带上;卫生间防水开关安装高度为高地1.1m;门灯安装在走廊倒各病房门套上。 三层血透中心每张病床安装一只对讲分机,护办配置一台30路对讲型主机,在走廊基本中间位置设一块双面显示屏。 一层大输液厅每张座椅安装一只对讲分机,护士站配置一台30路和90路主机。 二层儿童输液厅每张座椅安装一只对讲分机,治疗室配置一台60路主机。 护理单元及血透中心每张病订安装的对讲分机为超薄型分机,安装在病房设备带上;系统采用总线式,对讲主机对各对讲分机、防水开关之间采用手拉手方式连接。输液大厅和儿童输液厅呼叫器由吊平顶内沿输液杆引下安装,卧室输液厅呼叫器安装在设备带上(若无设备带,则离地1.1米墙面安装)。

数电课程设计-病房呼叫系统

毕业设计(数电知识实现) 班级:生物工程;姓名:康静;学号07221031 设计题目:病房呼叫系统 一、题目及要求 某医院有一、二、三、四号病室,每个房间装有呼叫按钮,同时在护士值班室内有相应的显示电路,即能看到是哪个病室呼叫。 现要求:一号病室的呼叫优先权最高,四号病室最低,试用74LS148和门电路设计满足上述要求的组合逻辑电路,并要求设计出显示单元电路,即显示病室房间号。 二、功能描述: 1、呼叫功能:四个病室每个病室都装有一个呼叫按钮,当病室有需要时,可以通过呼叫按钮进行呼叫 2、显示功能:在护士值班室内有相应的显示电路,可以看到是哪个病室在呼叫 3、优先权:四个病室呼叫具有优先权,其中一号病室优先权最高,四号病室优先权最低。只要有一号病室呼叫时,不管其他三个病室是否呼叫,显示电路均显示一号病室;一号病室不呼叫时,只要有二号病室呼叫,不管三号、四号是否呼叫,显示电路均显示二号病室……依次类推。优先权的功能是由74LS148芯片实现的。 二、方案设计: 1.总体设计思路(含电路原理框图):

设计思路: 以四号病室呼叫为例: 当只有四号病室呼叫时,要使显示单元电路显示“4”,则74LS47芯片二进制输入DCBA应为0100,即74LS148芯片输出A2A1A0为100(其中74LS47的D端可以由74LS148的GS端来控制),由74LS148真值表可知,其输入信号01234567应为***01111,即四号病室呼叫按钮应该接在74LS148输入端的“3”管脚。 同理,三号、二号、一号病室呼叫按钮应分别接在74LS148输入端的“4”、“5”、“6”管脚,:“7”输入端应始终接高电平。 而当四个病室都不呼叫时,数码管应不显示数字,此时DCBA为1111,D 由GS控制,即GS、A0、A1、A2都为高电平,要达到这样的要求,须使74LS148的“012”均接高电平。 为保证芯片正常工作,74LS148的E1、GND均接地,74LS47的LT’、BI\RBO’、RBI’接高电平,GND接低电平。 电路的原理框图如下图所示:

病房呼叫系统说明书

《单片机原理及外围电路》课程论文《基于51单片机的简易病房呼叫系统》 姓名:* * * 学号:502121210*

班级:通信工程16-1

摘要:本系统是基于51单片机的简易病床呼叫系统。该系统以80C51单片机为核心,辅以矩阵键盘、LED点阵显示电路和部分简单模拟和数字电路组成的能够实现病人和医护人员之间信息的传递。在该设计中每个病房都有一个按键,当患者有需要时,按下按键,此时值班室的显示屏可显示此患者的床位号,医护人员按下“响应”键取消当前呼叫。此系统能够为医院提供一个成本低、效率高、操作方便和易于安装维护的快捷系统. 关键词:80C51单片机矩阵键盘LED显示呼叫系统 Abstract This system is the patient's request to the doctor on duty or nurse emergency call tool s for diagnosis, care, and it is mainly used to assist the hospital patient in the bed easily call th e medical staff, the patient's request can be quickly sent to the duty doctor or nurse is to impro ve one of the essential equipment of hospital and ward level of care.Increasingly fierce compe tition in the hospital, commercial hospital survival is the first to enhance the grade and quality of service is imminent,accompany the issue has been the main body of the patient conflict, bu t also long-troubled health system quality of service issues, using the ward call system more c onvenient for patients to find a doctor to save the valuable time of the patient. The system is a ward call system based on the 51 series micro-chip design. The sy-stem uses 80C51 micro-controller as the core, supplemented by matrix keyboard, LED dot matrix displa y of a simple circuit and some analog and digital circuits to achieve the transfer of informatio n between patients and health care. In this design,each ward has a button, when patients in need, press the button, the display of t he duty room to display the number of beds in this patient, people use to achieve circular displ ay, press the medical staff "response" to cancel the current call. This system can provide a low cost, high efficiency, easy operation and easy installation and maintenance system for the hos pital. Keywords:80C51 Single-chip word call system matrix keyboard LED display

病房呼叫系统设计与仿真设计

病房呼叫系统 一)、设计题目:病房呼叫系统 二)、实验目的: 1、用8个开关模拟8个病房的呼叫输入信号,1号优先级最高;1~8优先级依次降低; 2、用一个数码管显示呼叫信号的;没信号时显示0;有多个信号呼叫时,显示优先级最高 的呼叫号(其他呼叫用指示灯显示);用四个数码管显示呼叫等待时间(mm ss)。 3、凡有呼叫发出5秒的提示声;呼叫3分钟未处理输出报警信号。 发挥部分:对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。 三)、设计思路: 1、整体设计思路:根据设计要求,我们将设计分为几个模块来设计,分别为:锁存模块、选优模块(对病房选优)、选优模块2(对复位选优)、计时模块、显示模块、蜂鸣模块。 2、整体设计流程: (1)、锁存器:对病房呼叫的信号进行存储并处理信号,需要用一个对所有的呼叫信号进行存储的锁存器。 (2)、数据选择器(选优):对发出呼叫的病房进行优先选择,选择优先级最高的一个病房号,病房号从1到8优先级一次降低。 (3)、数据选择器2(选优2):对时间控制信号进优先选择,这样就使得数码管显示器显示的时间为当前优先级最高的病房所呼叫的时间。 (4)、计时器:病房呼叫系统中要求凡有呼叫发出,呼叫3分种未处理输出报警信号,即要求一个模块对呼叫时间计时,因此设计一个分秒计时器,对呼叫时间计时。实验箱中时钟频率为20MHZ,故计时部分需加入分频,使之为1s。 (5)、显示器:用一个数码管显示呼叫信号的,用四个数码管显示呼叫等待时间(mm ss),设计一七段数码显示器,数码片选为低电平有效,扫描信号定为1KHZ。 二、课程设计过程 一)、模块分配 :锁存模块

相关文档