文档库 最新最全的文档下载
当前位置:文档库 › 数码管电子秒表程序

数码管电子秒表程序

数码管电子秒表程序
数码管电子秒表程序

数码管电子秒表程序

8位数码管电子秒表,显示精确到0.01秒。使用共阳数码管,51单片机的P0口输出段码,P2口输出位码。晶振11.0592MHz。

控制按钮K1接P1.0口,单片机检测低电平。第一次按下时,启动开始计时;第二次按下时,停止计时、显示当前值;第三次按下时,显示归零,做好重新计时准备。

完整源程序:

#include

#include

unsigned char data dis_digit;

unsigned char key_s, key_v;

unsigned char code dis_code[11]={0xc0,0xf9,0xa4,0xb0, // 0, 1, 2, 3 0x99,0x92,0x82,0xf8,0x80,0x90, 0xff};// 4, 5, 6, 7, 8, 9, off unsigned char dis_buf[8]; // 显示缓冲区

unsigned char sec_bcd[8]; // 秒计数值, BCD码

unsigned char dis_index; //

unsigned char key_times; // K1 按下次数

void clr_time();

void update_disbuf();

bit scan_key();

void proc_key();

void delayms(unsigned char ms);

sbit K1 = P1^0;

void main(void)

{

P0 = 0xff;

P2 = 0xff;

TMOD = 0x11; // 定时器0, 1工作模式1, 16位定时方式 TH1 = 0xdc;

TL1 = 0;

TH0 = 0xFC;

TL0 = 0x17;

clr_time(); //

dis_digit = 0x7f; // 初始显示P20口数码管

dis_index = 0; //

key_times = 0;

key_v = 0x01;

IE = 0x8a; // 使能timer0, timer1中断

TR0 = 1;

TR1 = 0;

while(1)

{

if(scan_key())

{

delayms(10);

if(scan_key())

{

key_v = key_s;

proc_key();

}

}

}

void clr_time()

{

sec_bcd[0] = 0x0;

sec_bcd[1] = 0x0;

sec_bcd[2] = 0x0;

sec_bcd[3] = 0x0;

sec_bcd[4] = 0x0;

sec_bcd[5] = 0x0;

sec_bcd[6] = 0x0;

sec_bcd[7] = 0x0;

update_disbuf();

}

bit scan_key()

{

key_s = 0x00;

key_s |= K1;

return(key_s ^ key_v); }

void proc_key()

{

if((key_v & 0x01) == 0) {

key_times++;

if(key_times == 1)

{

TR1 = 1;

}

else if(key_times == 2) {

TR1 = 0;

}

else

{

clr_time();

key_times = 0;

}

}

}

void timer0() interrupt 1

// 定时器0中断服务程序, 用于数码管的动态扫描

// dis_index --- 显示索引, 用于标识当前显示的数码管和缓冲区的偏移量// dis_digit --- 位选通值, 传送到P2口用于选通当前数码管的数值, 如等于0xfe时,

// 选通P2.0口数码管

// dis_buf --- 显于缓冲区基地址

{

TH0 = 0xFC;

TL0 = 0x17;

P2 = 0xff; // 先关闭所有数码管

P0 = dis_buf[dis_index]; // 显示代码传送到P0口

P2 = dis_digit; //

dis_digit = _cror_(dis_digit,1); // 位选通值右移(P20<-P27), 下次中断时选通下一位数码管

dis_index++; //

dis_index &= 0x07; // 8个数码管全部扫描完一遍之后,再回到第一个开始下一次扫描

}

void timer1() interrupt 3

//

{

unsigned char i;

TH1 |= 0xdc;

for(i = 0; i < 8; i++)

{

sec_bcd[i]++; // 低位加1

if(sec_bcd[i] < 10) // 如果低位满10则向高位进1

break; // 低位未满10

sec_bcd[i] = 0; // 低位满10清0

}

update_disbuf(); // 更新显示缓冲区

}

void update_disbuf()

// 更新显示缓冲区

{

dis_buf[0] = dis_code[sec_bcd[0]];

dis_buf[1] = dis_code[sec_bcd[1]];

dis_buf[2] = dis_code[sec_bcd[2]] & 0x7f; // 加上小数点 dis_buf[3] = dis_code[sec_bcd[3]];

dis_buf[4] = dis_code[sec_bcd[4]];

dis_buf[5] = dis_code[sec_bcd[5]];

dis_buf[6] = dis_code[sec_bcd[6]];

dis_buf[7] = dis_code[sec_bcd[7]];

}

void delayms(unsigned char ms)

// 延时子程序

{

unsigned char i;

while(ms--)

{

for(i = 0; i < 120; i++);

}

}

#include

sbit ksec=P3^0;

sbit kmin=P3^1;

sbit khour=P3^2;

sbit P33=P3^3;

unsigned char

secshi=0,secge=0,minshi=0,minge=0,hourshi=0,hourge=0;

unsigned int num=0,sec=0,min=0,hour=0,x,n;

unsigned char code table[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};

void delay(unsigned int);

void keyscan();

void display();

main()

{ TMOD=0x11;

TH0=(65536-50000)/256;

TL0=(65536-50000)%256;

TH1=(65536-50000)/256;

TL1=(65536-50000)%256;

EA=1;ET0=1;TR0=1; TR1=0; ET1=1; while(1)

{

display();

keyscan();

}

}

void delay(unsigned int z) {unsigned int x,y;

for(x=z;x>0;x--)

for(y=110;y>0;y--);}

void time0() interrupt 1

{num++;

TH1=(65536-50000)/256;

TL1=(65536-50000)%256;

}

void time1() interrupt 3

{

n++;

TH0=(65536-50000)/256;

TL0=(65536-50000)%256;

}

void display()

{

if(min==0)

{

TR1=1;

}

else

{TR1=0;}

if(n>10)

{

n=0;

P33=~P33;

}

if(num==20)

{num=0;

sec++;

if(sec==60)

{sec=0;

min++;

if(min==60)

{min=0;

hour++;

if(hour==24) {hour=0;

min=0;

sec=0;

}

}

}

}

secge=sec%10; secshi=sec/10; minge=min%10; minshi=min/10; hourge=hour%10; hourshi=hour/10; P2=1;

P0=table[secge]; delay(5);

P2=2;

P0=table[secshi]; delay(5);

P2=4;

P0=191;

delay(5);

P2=8;

P0=table[minge]; delay(5);

P2=16;

P0=table[minshi]; delay(5);

P2=32;

P0=191;

delay(5);

P2=64;

P0=table[hourge]; delay(5);

P2=128;

P0=table[hourshi]; delay(5);

}

void keyscan() {

if(ksec==0)

{delay(10);

if(ksec==0)

{sec++;

if(sec>=60)

sec=0;

}

while(ksec==0) display();}

if(kmin==0)

{delay(10);

if(kmin==0)

{min++;

if(min>=60)

min=0;

}

while(kmin==0) display();

}

if(khour==0)

{delay(10);

if(khour==0)

{hour++;

if(hour>=24) hour=0;

}

while(khour==0) display();

}

}

基于51单片机的4位数码管秒表

原理图: 源程序: /************************************************************* 标题:定时器中断精确到00.01的秒表 效果:能清零重新开始,暂停,继续计时,能精确到0.01秒 作者:皖绩小挺 说明:使用12M晶振,四位数码管,3个按键 ****************************************************************/ #include #define uint unsigned int #define uchar unsigned char uint temp,tt,qian,bai,shi,ge; sbit smg_q=P1^0; sbit smg_b=P1^1; sbit smg_s=P1^2; sbit smg_g=P1^3; sbit key1 = P3^7; sbit key2 = P3^6; sbit key3 = P3^5; uchar code table[]={0xc0,0xf9,0xa4,0xb0,0x99, 0x92,0x82,0xf8,0x80,0x90};

uchar code table1[]={0x40,0x79,0x24,0x30,0x19, 0x12,0x02,0x78,0x00,0x10}; //带小数点 void keyscan(); void display(uint shi,uint ge); void delay(uint z); void init(); /************************************************************** 主函数 ******************************************************************/ void main() { init();//初始化子程序 while(1) { if(tt==1) { tt=0; temp++; if(temp==10000) { temp=0; } qian=temp/1000; bai=temp%1000/100; shi=temp%100/10; ge=temp%10; } keyscan(); display(shi,ge); } } /********************************************************************* 延时 ***********************************************************************/ void delay(uint z) { uint x,y; for(x=z;x>0;x--) for(y=110;y>0;y--); } /*********************************************************************

秒表设计说明书讲解

课程设计说明书 用LED数码管显示的秒表设计 专业新能源科学与工程 学生姓名董爱林 班级能源132 学号1310604204 指导教师张兰红 完成日期2015年12月18日

用LED数码管显示的秒表设计 摘要:对采用LED数码管显示的秒表进行了设计。所设计的秒表,可通过两位数码管显示00-59。每秒自动加一。 在对系统功能分析的基础上,采用AT89C52单片机。相对而言比较简单,贴近书本,比较熟悉。对所要实现的功能也能很好地满足,焊接也比较简单。设计主要采用硬件和软件两部分。硬件包含数码管按钮模块、单片机控制模块、数码管显示模块、驱动电流放大模块。按钮模块采用独立式按键,控制模块选用AT89C52单片机,显示模块采用两位数码管,放大模块选用NPN三极管。软件采用模块化的程序,分为主程序和定时器计时服务子程序。 在多孔板上制作了LED数码管显示的秒表,使用protus完成了系统仿真,对硬件和软件部分分别进行了调试,进行了软硬件联调,最后调试成功样机实物,完成了毕业设计任务书的要求。 关键词:单片机;数码管;秒表

A stopwatch design with LED digital tube display Abstract: For the LED digital tube display of a stopwatch is used for design. The stopwatch, designed by two digital tube display 00 ~ 59. Automatically add a per second. On the basis of the analysis of system function, using the AT89C52 single chip microcomputer. Relatively simple, close to the book, familiar with. To in order to realize the function also can well satisfy, welding is more simple. The design mainly adopts two parts of hardware and software. Hardware consists of digital tube button module, single-chip microcomputer control module, digital tube display module, the drive current amplifier module. Button module USES the independent type key, choose AT89C52 single chip microcomputer control module, using two digital tube display module, amplifier module selects the NPN transistor. Software adopts the modular program, main program and timer timing service subroutine. On the perforated plate made of LED digital tube display a stopwatch, use protus completed system simulation, the hardware and the software part, has carried on the debugging, the software and hardware alignment, the final debugging success physical prototype, completed the graduation design specification requirements. Key Words:Single chip microcomputer; Digital tube; A stopwatch.

电子秒表课程设计报告

电子信息工程专业10级学生单片机原理课程设计任务书 电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、设计方案分析

1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H-33H中。其中31H存放分钟变量,32H存放秒钟变量,33H存放10ms计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。显示时,先取出31H -33H某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 INT中断完成,定时溢出中断周期为1ms,当一处中断后向CPU 计时通过1 发出溢出中断请求,每发出一次中断请求就对毫秒计数单元进行加一,达到10次就对十毫秒位进行加一,依次类推,直到4.59.99秒重新复位。 再看按键的处理。这两个键可以采用中断的方法,也可以采用扫描的方法来识别。复位键主要功能在于数值复位,对于时间的要求不是很严格。而开始和停止键则是用于对时间的锁定,需要比较准确的控制。因此可以对复位按键采取扫描的方式。而对开始和停止键采用外部中断的方式。 设计中包括硬件电路的设计和系统程序的设计。其硬件电路主要有主控制 器,显示电路和回零、启动、查看、停表电路等。主控制器采用单片机STC89C52,

数码管显示转换的电压值数码管显示秒表

数码管显示转换的电压值数码管显示秒表 标准化管理处编码[BBX968T-XBB8968-NNJ668-MM9N]

电子科技大学微电子与固体电子学院 实验报告 实验名称现代电子技术综合实验 姓名:詹朋璇 学号:20 评分: 教师签字 电子科技大学教务处制

电子科技大学 实验报告 学生姓名:詹朋璇学号: 20 指导教师:熊万安 实验地点: 211大楼308 实验时间:2014. 晚 一、实验室名称:单片机技术综合实验室 实验项目名称:数码管显示A/D转换的电压值&数码管显示秒表 二、实验学时: 12 三、实验目的与任务: 1、熟悉系统设计与实现原理 2、掌握KEIL C51的基本使用方法 3、熟悉实验板的应用 4、连接电路,编程调试,实现各部分的功能 5、完成系统软件的编写与调试 四、实验器材 1、PC机一台 2、实验板一块

五、实验原理、步骤及内容 试验要求:数码管的第1位显示任务号1,第3位到第5位显示、A/D转换的电压值,可调节电压,第7、8位显示两位学号;数码管第2位和第6位显示“-”号; 按按键key1进行切换,此时数码管第1位显示任务号2,第7、8位显示循环倒计时的秒表,范围为08秒到01秒后,再过01秒,秒表又显示为08秒;(单片机系统中利用定时器/计数器计数秒表的值:利用定时器T0延时1秒进行计数。),其它位显示不变,按按键key2时,秒表停止计数,再按按键key2时,秒表继续计数,按key1键,又回到任务1的显示状态。 当电压值大于2伏时,按按键不起作用。 1、硬件设计(可打印) 2、各部分硬件原理(可打印) 数码管动态扫描 TLS549ADC工作时序图 3、软件设计 按下

电子秒表课程设计

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫秒”依次在数码管显示出来。该秒表最大计时值为59分59.99秒,“10毫秒”为一百进制计数器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second timer.It does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, 59.99 seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

电子秒表使用说明

电子秒表使用说明 Company number:【0089WT-8898YT-W8CCB-BUUT-202108】

正品深圳君斯达JS-601金属秒表运动秒表2道记忆1/100秒萤幕显示 双道记忆、1/100秒萤幕显示 日历及时间显示(12/24小时转换) 定闹及整点报闹 金属外壳 LR44电池 Size:77×× 君斯达牌多功能系列秒表使用说明书 秒表计时: 按3号键直接秒表显示,如果秒表显示为零,按1号键停止计时,按2号键复位到零 1、秒表计时 按1号键开始计时,再按1号键停止计时(重复按1号键,重得开始/停止),按2号键复位到零 2、分段计时 按1号键开始计时,按2号键记下前段时间(注:秒表在计下前段时间时,表内

部仍在计时),再按2号键,在累加时间的基础上恢复走时(每次分段重复按2号键两次),按1号键复位到零 3、二段计时 按1号键开始计时,按2号键显示第一段时间;按1号键停止计时,按2号键显示第二段时间;再按2号键复位到零 二、时间,日历,响闹显示 按3号键直至显示正常走时,按1号键显示月,日和星期,按2号键显示响闹时间,同时按住1号键和2号键响闹取消/保持 三、设置时间和日历 在正常走时状态按3号键三次,正常走时闪烁,这样进入了时间设置方式,按1号键置(按住不动,快数置数),按2号键选择秒,分,时,日,月,星期 (A/P为12小时制,A为上午,P为下午,H为24小时制)作为调校对象,调校完毕,按3号键回到时间显示方式。 四、设置响闹 在正常走时关态按3号键两次,时和星期同时闪烁,这样进入响闹设置方式;按2号键先择分和小时,按1号键改变分和小时数字,按3号键回到时间显示。在正常走时关态,按住2号键,同时按1号键,定闹符号出现/消失,定闹取消/保持,同时按3号键,每小时报点符号,星期日至星期六,七个字符出现/消失,每小时报点保持/取消

用LED数码管显示的秒表设计

单片机课程设计说明书 用LED 数码管显示的秒表设计 专业 电气工程及其自动化 学生姓名 刘宁 班级 B 电气081 学 号 04 指导教师 张兰红 完成日期 2011年 6月 26 日

目录 1、概述 (2) 2、课题方案设计 (2) 系统总体设计要求 (2) 系统模块结构论证 (2) 3、系统硬件设计 (3) 总体设计 (3) 单片机运行的最小系统 (4) 52单片机最小系统电路介绍 (4) 单片机的振荡电路与复位电路 (7) 数码管介绍 (8) 驱动电路 (9) 4、软硬件联调及调试结果 (10) 软硬件调试中出现的问题及解决措施 (10) 实物图 (11) 调试结果 (13) 5、结束语 (13) 参考文献 (14) 附录 (14) 附录1:基于单片机的秒表设计原理图 (14) 附录2:基于单片机的秒表设计PCB图 (15) 附录3:PROTEUS仿真图 (16) 附录4:基于单片机的秒表设计C语言程序清单 (17) 附录5:基于单片机的秒表设计元器件目录表 (19)

1、概述 21世纪是一个电子技术和电子元件有更大发展的世纪。回顾百年来电子技术和电子工业发展的成就,举世瞩目。作为一个电气专业的大学生,我们不但要有扎实的基础知识、课本知识,还应该有较强的动手能力。现实也要求我们既精通电子技术理论,更要掌握电子电路设计、实验研究和调试技术。课程设计就是一个理论联系实际的机会。 本次设计主要完成具备基本功能的电子秒表的理论设计,电子秒表是重要的记时工具,广泛运用于各行各业中。作为一种测量工具,电子秒表相对其它一般的记时工具具有便捷、准确、可比性高等优点,不仅可以提高精确度,而且可以大大减轻操作人员的负担,降低错误率。 在设计中应用到数码管,数码管主要用于楼体墙面,广告招牌、高档的DISCO、酒吧、夜总会、会所的门头广告牌等。特别适合应用于广告牌背景、立交桥、河、湖护栏、建筑物轮廓等大型动感光带之中,可产生彩虹般绚丽的效果。用护栏管装饰建筑物的轮廓,可以起到突出美彩亮化建筑物的效果。事实证明,它已经成为照明产品中的一只奇葩,绽放在动感都市。 2、课题方案设计 系统总体设计要求 用AT89C52设计一个2位LED数码显示“秒表”,显示时间为00~59秒,每秒自动加一。另设计一个“开始”按键和一个“复位”按键,一个“暂停”按键。接上电源后从00开始计时,至59后再回到00,继续循环。 系统模块结构论证 1.单片机模块选择 方案一:选用飞思卡尔单片机,飞思卡尔单片机功能强大,但是价格相对要高,而且对此不熟悉。

电子秒表电路实验报告1

电子技术课程设计 报告 设计题目:电子秒表 院(部):物理与电子信息学院 专业班级:电子信息工程 学生姓名: 学号: 指导教师: 摘要

秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器六进制计数器多谐振荡器

目录 1.选题与需求分析 (1) 1.1设计任务 (1) 1.2 设计任务 (1) 1.3设计构思 (1) 1.4设计软件 (2) 2.电子秒表电路分析 (3) 2.1总体分析 (3) 2.2电路工作总体框图 (3) 3.各部分电路设计 (4) 3.1启动与停止电路 (4) 3.2时钟脉冲发生和控制信号 (4) 3.3 设计十进制加法计数器 (6) 3.4 设计六进制加法计数器 (7) 3.5 清零电路设计 (8) 3.7 总体电路图: (10) 4 结束语与心得体会 (12)

PC396电子秒表使用说明书

PC396 电子秒表使用说明书 一、秒表计时 按A键直至秒表显示,若秒表不为零。按B键停止计时,按C键复位到零。 简易计时:按B键开始计时;再按B键,停止计时;(重复按B键,重复开始/停止)停止计时后,按C键复位到零。 分段计时:按B键开始计时;按C键显示分段时间;(注意:内部计时持续)再按C键复位到计时;(重复按C键,显示分段时间或复位)复位到计时后,按B键停止计时;按C键复位到零。 两段时间显示;按B键开始计时;按C键显示第一分段时间;按B 键记忆第二分段时间;按C键显示第二分段时间;再按C键复位到零。 二、时间、日历、星期、响闹显示 按A键直至正常走时,按B键显示月、日、星期;按C键显示响闹时间,同时按住C键和B键,设置响闹取消或保持。 三、设置时间、日历 在正常走时状态,按A键三次,正常走时的秒及星期同时闪烁;这样进入了设置状态。按C键,选择秒、分、时、日、月、星期,按B 键置数,(按住键不放,出现快速置数)A/P显示为12小时制,A表示为上午,P表示为下午,H为24小时制,调校完毕,按A键回到时间显示状态。 四、设置响闹时间

在正常走时状态,按A 键两次,时和星期一同时闪烁,这样进入了响闹设置方式。按C 键选择时和分,按B 键改变分和时数字。按A 键回到正常时间显示。 五、自动重响 在响闹时,按B 键进入重响状态。即五分钟后,响闹可自动重响,按C 键可解除自动重响。 六、每小时报时 按A 键直至显示正常走时,按C 键后,同时按A 键,星期指示全部显示则有每小时报时,星期显示全部消失为无每小时报时。 七、注意事项 避免与腐蚀性物体接触;避免在温度过高或过低的环境下使用; 不要长时间在阳光下暴晒。电池不在保修范围内。 A 键 B 键 C 键 PC396电子秒表图

Multisim电子秒表

电子秒表设计 1.实验目的: 学习数字电路中的基本RS触发器,单稳触发器,时钟发生器,译码显示电路等单元电路的综合应用。学习电子秒表的调试方法。 2.实验原理 图2-1为电子秒表的电原理图。按功能分成4个单元电路进行分析。 2.1 基本RS触发器 图2-1的单元Ⅰ部分为用集成与非门构成的基本RS触发器。它属低电平直接触发的触发器,有直接置位和复位的功能。 图2-1 电子秒表原理图 它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。 按动按钮开关K2到接地,则门一的输出Q=1;门2的输出Q=0,K2复位后Q、Q状态保持不变。再按动按钮开关K1,则Q由0变为1,门5开启,为计数器启动作好准备,Q由1变到0,送出负脉 冲,启动单稳态触发器工作。 基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。 2.2 单稳态触发器 图2-1的单元Ⅱ部分为用集成与非门构成的微分型单稳态触发器。单稳态触发器的输入触发负脉 冲信号V i由基本RS触发器Q端提供,输出负脉冲V0通过非门加到计数器的清除端R。 静态时,门4应处于截止状态,故电阻R必须小于门的开关电阻R off。定时元件RC取值不同,输出脉冲宽度也不同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分电路的R p和C p。 单稳态触发器在电子秒表中的职能是为计数器提供清零信号。 2.3 时钟触发器 图2-1的单元Ⅲ为由555定时器构成的多谐振荡器,是一种性能较好的时钟源。 调节电位R p,使在输出端3获得频率为50Hz的矩形波信号。当基本RS触发器的Q=1时,门5开启,此时,50Hz脉冲信号通过门5作为计数脉冲加于计数器74LS90(Ⅰ)的计数输入端CP2。 2.4 计数及译码显示 二-五-十进制加法计数器74LS90构成电子秒表的计数单元。其中计数器①接成五进制形式,对频率为50Hz的时钟脉冲进行五分频,在输出端Q D取得周期为0.1秒的矩形脉冲,作为计数器②的时钟输入。计数器②及计数器③接成8421码十进制形式,其输出端与实验装置的译码显示单元的相应输出端连接,可显示0.1~0.9s和1~9.9s计时。

电子秒表使用说明

电子秒表使用说明内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

正品?深圳君斯达JS-601金属秒表?运动秒表?2道记忆?1/100秒萤幕显示 双道记忆、1/100秒萤幕显示 日历及时间显示(12/24小时转换) 定闹及整点报闹 金属外壳 LR44电池 Size:77×61.5×15.5mm 君斯达牌多功能系列秒表使用说明书 秒表计时: 按3号键直接秒表显示,如果秒表显示为零,按1号键停止计时,按2号键复位到零 1、秒表计时 按1号键开始计时,再按1号键停止计时(重复按1号键,重得开始/停止),按2号键复位到零 2、分段计时 按1号键开始计时,按2号键记下前段时间(注:秒表在计下前段时间时,表内部仍在计时),再按2号键,在累加时间的基础上恢复走时(每次分段重复按2号键两次),按1号键复位到零 3、二段计时 按1号键开始计时,按2号键显示第一段时间;按1号键停止计时,按2号键显示第二段时间;再按2号键复位到零 二、时间,日历,响闹显示

按3号键直至显示正常走时,按1号键显示月,日和星期,按2号键显示响闹时间,同时按住1号键和2号键响闹取消/保持 三、设置时间和日历 在正常走时状态按3号键三次,正常走时闪烁,这样进入了时间设置方式,按1号键置(按住不动,快数置数),按2号键选择秒,分,时,日,月,星期 (A/P为12小时制,A为上午,P为下午,H为24小时制)作为调校对象,调校完毕,按3号键回到时间显示方式。 四、设置响闹 在正常走时关态按3号键两次,时和星期同时闪烁,这样进入响闹设置方式;按2号键先择分和小时,按1号键改变分和小时数字,按3号键回到时间显示。在正常走时关态,按住2号键,同时按1号键,定闹符号出现/消失,定闹取消/保持,同时按3号键,每小时报点符号,星期日至星期六,七个字符出现/消失,每小时报点保持/取消 五、电池更换 当显示变暗或无显示时,用十字的拧松表后壳螺丝,取下表后壳,(或用硬币拧出表后壳上的电池门),取出表内旧的扣式电池,装上一个同样型号或一个同样大小的扣式电池,然后装上表壳,拧紧表壳螺丝 六、注意事项 1避免受潮,不能在雨不下使用 2不宜长时间在太阳下爆晒和置于强光下照射 3避免与腐蚀性物质接触 4避免在温度过高或过低的环境下使用

电子秒表课程设计报告

西安郵電學院 控制系统课程设计报告书 系部名称:信息与控制系 学生姓名:XXX 专业名称:测控技术与仪器 班级:测控XXXX 2010年9月13日至 时间: 2010 年9月26日

电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、 设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H -33H 中。其中31H 存放分钟变量,32H 存放秒钟变量,33H 存放10ms 计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD 码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD 码数据的对应段码存放在ROM 表中。显示时,先取出31H -33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过1INT 中断完成,定时溢出中断周期为1ms ,当一处中断后向CPU

电子秒表的使用与保养

电子秒表的使用与保养 秒表主要有机械和电子两大类,电子表又可分为三按键(图1)和四按键(图2)两大类。现在绝大部分体育教师使用的 多是电子秒表,机械秒表在很多地方已经 成为历史。电子秒表是一种较先进的电子 计时器,目前国产的电子秒表一般都是利 用石英振荡器的振荡频率作为时间基准, 采用6位液晶数字显示时间,具有显示直 观、读取方便、功能多等优点。笔者就电 子秒表的使用与保养谈几点感受(以四按 键电子秒表为例)。 一、学会电子表按键的基本操作(查阅秒表说明书) 1.记录一个时间:在计时器显示的情况下,按MODE键选择,即可出现秒表功能。按一下START/STOP按钮开始自动计秒,再按一下停止计秒,显示出所计数据。按LAP/RESET键,则自动复零。 2.记录多个时间:若要纪录多个物体同时出发,但不同时到达终点的运动,可采用多计时功能方式(具体可记录数量以表的说明书介绍为准)。即首先在秒表状态下按START/STOP开始,秒表开始自动计秒,待物体到达终点时按一下LAP/RESET,则显示不同物体的计秒数停止,并显示在屏幕上方。此时秒表仍在记录,内部电路仍在继续为后面的物体累积计秒。全部物体记录完成后正常停表,按RECALL可进入查看前面的记录情况,上下翻动可用START/STOP和 LAP/RESET两键。 3.时间、日期的调整:若需要进行时刻和日期的校正与调整,可按MODE键,待显示时、分、秒的计秒数字时,按住RECALL键2秒后见数字闪烁即可选择调整,直到显示出所需要调整的正确秒数时为止,再按下RECALL键。 二、使用注意事项 1.使用前一定要进行验表,主要看按键是否有问题,记录的时间是否准。 2.使用时,用拇指指关节或用食指第二指关节扣住按键,并将秒表靠住自己的胸部,不在任何的摆臂动作中完成按键。 3.按键时尽量用正确的角度和适合的力量,不要按在按钮的边缘或斜角度按,避免卡住或损坏按钮。 4.计时开启后不要将秒表挂在脖子上或放在口袋内跑动,防止跑的过程中秒表按键与身体相撞,造成意外的停止或开启。 5.要注意开表以烟为信号,停表时与终点线直对,不能斜看。 三、保养注意事项 1.保持电池的定期更换,一般在显示变暗时即可更换,不要等电子秒表的电池耗尽再更换。

电子秒表

****学院 微机应用课程设计报告 课题名称:电子秒表的设计 专业:自动化 班级: 11自动化(1)班 姓名: *** 学号: ********* 地点:工C310 时间: 2014年3月3日-7日 指导老师: ***********

一.设计目的 1.建立数字电子电路系统的基本概念; 2.通过本次课程设计加深对单片机课程的全面认识复习和掌握,对单片机课程的应用进一步的了解。 3.掌握定时器、外部中断的设置和编程原理。 4.通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。 二.设计要求 设计一个数字电子秒表,该秒表具有显示复位、开始计时、停止计时等功能。 设计的要求如下: 1.以10毫秒为最小单位进行显示; 2.秒表可显示00.00~99.99秒的量程; 3.该秒表具有清零、开始计时、停止计时功能; 三.硬件电路设计 1.数码秒表电路设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 设计中包括硬件电路的设计和系统程序的设计。其硬件电路主要有主控制器,计时与显示电路和回零、启动等。主控制器采用单片机AT89C52,显示电路采用四位共阳极LED数码管显示计时时间。由于本实验有四位数码管,如果采用静态显示要占用全部的I/O端口,所以本次试验采用静态显示,共使用12个引脚,即简化了电路又节省了原料。 本设计利用AT89C52单片机的定时器/计数器定时和记数的原理,使其能精确计时。利用中断系统使其能实现开始和复位的功能。电路原理图设计最基本的要求是正确性,其次是布局

74ls9电子秒表说明书

第1章绪论 1.1选题的目的 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。人们对它的认识也逐渐加深。作为一个学习电子专业的大学生,我们不但要有扎实的基础知识、课本知识,还应该有较强的动手能力。现实也要求我们既精通电子技术理论,更要掌握电子电路设计、实验研究和调试技术。 1.2 设计的要求 1.2.1设计题目和设计指标 设计题目:电子秒表。 设计指标:1. 计数范围000~999。 2. 具有启动、暂停、停止功能。 1.2.2 设计功能 电子秒表是重要的记时工具,广泛运用于各行各业中。它可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。作为一种测量工具,电子秒表相对其它一般的记时工具具有便捷、准确、可比性高等优点,不仅可以提高精确度,而且可以大大减轻操作人员的负担,降低错误率。

第2章方案设计 2.1电路的方框图 电路的方框图主要由脉冲产生电路、控制及分频电路、计数电路、译码驱动电路及显示电路等单元电路的综合电路组成。如图2—1所示。 图2-1 电子秒表电路方框图 2.2 方案介绍 脉冲产生电路 由NE555构成的多谐振荡器,是一种能产生矩形波的自激振荡器,也称矩形波发生器。多谐振荡器没有稳态,只有两个暂稳态。在工作时电路在这两个稳态之间自动的交替变换,由此产生矩形脉冲信号,常用作脉冲信号源及时序电路中的时钟信号。并且555定时器的比较器灵敏度高,输出驱动电流大,功能灵活且电路结构简单计算简单。因此在本电路中采用NE555定时器构成的多谐振荡器作为振荡源。

微机原理课程设计电子秒表的模板

微机原理课程设计电子秒表的

微机原理与应用课程设计 目录

一、设计任务 1、计时秒表, 具有分、秒和百分之一秒的计时功能。 2、能够在屏幕中央显示计时结果, 屏幕显示彩色图案和文 字。 3、秒表具有键控启/停功能, 而且能够随时经过键盘复位, 清零。 二、设计原理 1、 HALT(启动子程序) 2、 DIS( 宏定义——苹果显示程序) 3、 DISS( 宏定义——字符串的输出) 4、 CLOUR( 字体颜色设置程序) 5、 IOSET(设置光标位置子程序) 6、 GO(初始化显示子程序) 7、 TIME(延时子程序) 8、 TRAN(压缩BCD码转ASCII码程序) 9、复位、停止等功能的实现 三、流程图 a)主程序流程图 b)压缩BCD转ASCII码子程序流程图 c)延时子程序流程图 四、程序段

五、程序调试 六、程序运行结果 七、心得体会 八、参考文献 一、设计任务: 1.计时秒表, 具有分、秒和百分之一秒的计时功能, 并能够在屏幕中央显示计时结果。 2.屏幕显示彩色图案和文字。 3.秒表具有键控启/停功能, 而且能够随时经过键盘复位, 清零。 二、设计原理: 本程序的主要设计原理是用主程序完成百分之一秒与秒之间的转换, 显示计时结果, 实现暂停, 暂停再启动, 复位, 复位再启动的功能, 并经过调用一些附加程序, 缩减主程序的长度, 提高运行效率和精度, 现将用到的所有附加程序的原理及其在运行过程中所起到的作用汇总如下: 1.DIS( 宏定义——苹果显示程序) 经过宏调用, 实现苹果图案的显示。在宏定义里, 设置一个形参变量, 作为移动光标的位置, 在下面调用宏中, 给予变量X不同的实参, 输出苹果图案。 2.DISS( 宏定义——字符串的输出)

秒表-六位数码管有效显示2

秒表-六位数码管有效显示 C51单片机

1.#include 2.#include 3.#define uchar unsigned char 1.void delay_ms(uchar ms); // 延时毫秒@12M,ms最大值255 2.void key_scan(); // 按键扫描 3.void key_to(); // 按键处理 4. 5.uchar code dis_code[11] = {0xc0,0xf9,0xa4,0xb0,0x99, //段 码表 6.// 0 1 2 3 4 对应内容 7. 0x92,0x82,0xf8,0x80,0x90,0xbf}; 8.// 5 6 7 8 9 - 9. 10.uchar data dis[8]; // dis[0]为百分之一秒值,dis[1]为十分之 一秒值 11. // dis[2],dis[5]为'-'段码的偏移量 12. // dis[3]为秒个位值,dis[4]为秒十位 值 13. // dis[6]为分个位值,dis[7]为分十位 值 14. 15.uchar data dot = 0; // 百分之一秒计数器(0.00s-0.99s) 16.uchar data sec = 0; // 秒计数器(00s-59s) 17.uchar data min = 0; // 分计数器(00m-99m) 18. 19.uchar data dis_b; // dis_b为位码选通数码管 20.uchar data dis_r; // dis_r为取段码时的偏移量 21. 22.uchar data key_t = 0; // 按键次数,初始为0 23. 24.sbit K = P1^4; // K键与P1.4相连 25. 26.void main() 27.{ 28. P2 = 0xff; // 关所有数码管 29. P1 = 0xff; // p1为准双向口,作输入时先写1 30. dis[2] = 10; // '-'在段码表中偏移量为10 31. dis[5] = 10; // '-'在段码表中偏移量为10 32. dis_b = 0x7f; // 初始选通P2.7口数码管 33. dis_r = 0; // 初始化偏移量为0 34. 35. TMOD = 0x11; // 定时/计数器0,1工作于方式1

电子项目作业 电子秒表资料

单片机项目作业 项目题目:电子秒表 指导教师:姬红旭 班级:计科123班 学生姓名:马超 学号: 2012090137

一、电子秒表的功能介绍 电子秒表是一种较先进的电子计时器,目前国产的电子秒表一般都是利用石英振荡器的振荡频率作为时间基准,采用6位液晶数字显示时间。电子秒表的使用功能比机械秒表要多,它不仅能显示分、秒,还能显示时、日、月及星期,并且有1/l00s的功能。一般的电子秒表连续累计时间为59min 59.99s,可读到1/l00s,平均日差±0.5s。电子秒表配有三个按钮,如图所示。图中为秒表按钮,为功能变换按钮,为调整按钮,基本显示的计时状态为“时”、“分”、“秒”。 电子秒表是重要的记时工具,广泛运用于各行各业中。它可广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时间测量精度要求较高的场合.测定短时间间隔的仪表。作为一种测量工具,电子秒表相对其它一般的记时工具具有便捷、准确、可比性高等优点,不仅可以提高精确度,而且可以大大减轻操作人员的负担,降低错误率。 二、电子秒表的硬件电路设计 (一)方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。

电子秒表使用说明

正品深圳君斯达JS-601金属秒表运动秒表2道记忆1/100秒萤幕显示双道记忆、1/100秒萤幕显示 日历及时间显示(12/24小时转换) 定闹及整点报闹 金属外壳 LR44电池 Size:77×61.5×15.5mm 君斯达牌多功能系列秒表使用说明书

秒表计时: 按3号键直接秒表显示,如果秒表显示为零,按1号键停止计时,按2号键复位到零 1、秒表计时 按1号键开始计时,再按1号键停止计时(重复按1号键,重得开始/停止),按2号键复位到零 2、分段计时 按1号键开始计时,按2号键记下前段时间(注:秒表在计下前段时间时,表内部仍在计时),再按2号键,在累加时间的基础上恢复走时(每次分段重复按2号键两次),按1号键复位到零 3、二段计时 按1号键开始计时,按2号键显示第一段时间;按1号键停止计时,按2号键显示第二段时间;再按2号键复位到零 二、时间,日历,响闹显示 按3号键直至显示正常走时,按1号键显示月,日和星期,按2号键显示响闹时间,同时按住1号键和2号键响闹取消/保持 三、设置时间和日历

在正常走时状态按3号键三次,正常走时闪烁,这样进入了时间设置方式,按1号键置(按住不动,快数置数),按2号键选择秒,分,时,日,月,星期(A/P 为12小时制,A为上午,P为下午,H为24小时制)作为调校对象,调校完毕,按3号键回到时间显示方式。 四、设置响闹 在正常走时关态按3号键两次,时和星期同时闪烁,这样进入响闹设置方式;按2号键先择分和小时,按1号键改变分和小时数字,按3号键回到时间显示。在正常走时关态,按住2号键,同时按1号键,定闹符号出现/消失,定闹取消/保持,同时按3号键,每小时报点符号,星期日至星期六,七个字符出现/消失,每小时报点保持/取消 五、电池更换 当显示变暗或无显示时,用十字的拧松表后壳螺丝,取下表后壳,(或用硬币拧出表后壳上的电池门),取出表内旧的扣式电池,装上一个同样型号或一个同样大小的扣式电池,然后装上表壳,拧紧表壳螺丝 六、注意事项 1避免受潮,不能在雨不下使用 2不宜长时间在太阳下爆晒和置于强光下照射 3避免与腐蚀性物质接触

相关文档
相关文档 最新文档