文档库 最新最全的文档下载
当前位置:文档库 › 数据分配器

数据分配器

数据分配器
数据分配器

河北经济管理学校教案

序号:1 编号:JL/JW/7.5.1.03

4.13授课主题数据分配器

教学目的1. 了解什么是数据分配器

2. 掌握数据分配器原理

教学重点、难

点重点:数据分配器原理

难点:数据分配器电路结构图

教学准备教案,板书,教材

教学过程设计与时间分配

一、课堂导入与提问(10min)

二、讲授新课(55min)

1.电路结构

2.数据分配器的用途

3.数据分配器分类

三、课堂小结(15min)

四、布置作业(10min)

河北经济管理学校教案

教案内容

一、课堂导入与提问(10min)

能够 将1个输入数据,根据需要传送到m个输出端的任何一个输出端的电路,叫做数据分配器,又称为多路分配器,其逻辑功能正好与数据选择器相反。

二、讲授新课(55min)

1.电路结构

由与门组成的阵列。

数据分配是将一个数据源来的数据根据需要送到多个不同的通道上去,实现数据分配功能的逻辑电路称为数据分配器。它的作用相当于多个输出的单刀多掷开关,其示意图如下图所示。

数据分配器可以用唯一地址译码器实现。

如用3线-8线译码器可以把一个数据信号分配到8个不同的通道上去。用74138作为数据分配器的逻辑原理图如下:

将G2B接低电平,G1作为使能端,C,B和A作为选择通道地址输入,G2A作为数据输入。例如,当G1=1,CBA=010时,由74138的功能表可

得:

而其余输出端均为高电平。因此,当地址CBA=010时,只有输出端Y2得到与输入相同的数据波形。74138译码器作为数据分配器的功能表如下所示。

2.数据分配器的用途

数据分配器的用途比较多,比如用它将一台PC机与多台外部设备连接,将计算机的数据分送到外部设备中。它还可以与计数器结合组成脉冲分配器,用它与数据选择器连接组成分时数据传送系统。

一种数据分配器,包括有主输入端和若干个输出端,其中主输入端与匹配器相连,匹配器再与分配器相连,分配器再连接至多个输出端,其特征在于在主输入端口和每个输出端口并接有自复式过压保护器。本实用新型抗干扰性能好、插入损耗小、分支损耗带内平坦、工作频带宽、耐高压、适应各种复杂环境。是双向电视及通信系统的理想信号分配器。

3.数据分配器分类

1路-4路数据分配器(如74LS139)、1路-8路数据分配器

(74LS138)等。

由于译码器和数据分配器的功能非常接近,所以译码器一个很重要的应用就是构成数据分配器。

也正因为如此,市场上没有集成数据分配器产品,只有集成译码器产品。当需要数据分配器时,可以用译码器改接。

3、 课堂小结(15min)

1.电路结构

2.数据分配器的用途

四、布置作业(10min)课本P268复习思考题

四选一数据选择器源程序

四选一数据选择器源程序 Library ieee; Use ieee.std_logic_1164.all; Entity mux4_2 is Port (din: in std_logic_vector(3 downto 0); a,b : in std_logic; S: out std_logic); End; Architecture with_when of mux4_2 is Signal sel : std_logic_vector(1 downto 0); Begin Sel<=a&b; S<=din(0) when sel=“00”else din(1)when sel= “01” else din(2)when sel= “10” else din(3);---见程序说明。 Architecture with_select of mux4 is Signal sel :std_logic_vector(1 downto 0); begin sel<=a&b; with sel select s<=din(0) when “00”, s<=din(1) when “01”,

s<=din(2) when “10”, s<=din(3) when “11”, …Z?when others; End; 程序说明: 1.本程序中含有两个结构体,with_when和with_select,max+plus软 件系统自动执行几何位置处于最后的机构体with_select. 2.结构体with_when是用并行条件信号赋值语句描述四选一数据选 择器。注意,最后一个输出din(3)不含有when子句;在s表达式中只有一个分号(;)。 3.结构体with_select.是用并行选择信号赋值语句描述四选一数据选 择器。注意,选择信号赋值语句中选择条件与case语句相似,不允许条件重叠和涵盖不全。由于a,b的值除了‘1’‘0’外,还有其他7个值,所以要用when others代表其他值,以穷尽所有可能值。 4.同一个设计任务,可以用不同的语句进行描述, 5.本程序中din为输入4位矢量信号。 实例2 3线----8线译码器 一、设计任务 描述一个3线-8线译码器,使能端为g1、g2a、g3b,地址选择端为a、 b、c,输出端为总线y。 二、算法设计

实验三 数据选择器及其应用

实验三数据选择器及其应用 一、实验目的 1.掌握数据选择器的逻辑功能和使用方法。 2.学习用数据选择器构成组合逻辑电路的方法。 二、实验原理 数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的功能相当于一个多个输入的单刀多掷开关,其示意图如下: 图9-1 4选1数据选择器示意图 图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中一路数据送至输出端Q。 1.八选一数据选择器74LS151 74LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可选择I0~I78个数据源,具有两个互补输入端,同相输出端Z和反相输出端Z。其引脚图和功能表分别如下: 2.双四选一数据选择器74LS153

所谓双四选一数据选择器就是在一块集成芯片上有两个完全独立的4选1数据选择器,每个数据选择器有4个数据输入端I0~I3,2个地址输入端S0、S1,1个使能控制端E和一 个输出端Z,它们的功能表如表9-2,引脚逻辑图如图9-3所示。 图9-3 74LS153引脚逻辑图表9-2 74LS153的真值表 其中,EA、EB(1、15脚)分别为A路和B路的选通信号,I0、I1、I2、I3为四个 数据输入端,ZA(7脚)、ZB(9脚)分别为两路的输出端。S0(14脚)、S1(2脚)为地址信号,8脚为GND,16脚为VCC。 3.用74LS151组成16选1数据选择器 用低三位A2A1A0作每片74LS151的片内地址码, 用高位A3作两片74LS151的片选信号。当A3=0时,选中74LS151(1)工作, 74LS151(2)禁止;当A3=1时,选中74LS151(2)工作, 74LS151(1)禁止,如下图所示。 图9-4用74LS151组成16选1数据选择器

数据选择器与数据分配器.

3.3 数据选择器与数据分配器 本次重点内容: 1、数据选择器的电路原理与功能。 2、用数据选择器实现函数。 3、数字分配器的电路和功能 教学过程 3.3.1 数据选择器 在多路数据传输过程中,经常需要将其中一路信号挑选出来进行传输,这就需要用到数据选择器。 在数据选择器中,通常用地址输入信号来完成挑选数据的任务。如一个4选1的数据选择器,应有两个地址输入端,它共有22=4种不同的组合,每一种组合可选择对应的一路输入数据输出。同理,对一个8选1的数据选择器,应有3个地址输入端。其余类推。 而多路数据分配器的功能正好和数据选择器的相反,它是根据地址码的不同,将一路数据分配到相应的一个输出端上输出。 根据地址码的要求,从多路输入信号中选择其中一路输出的电路,称为数据选择器。其功能相当于一个受控波段开关。多路输入信号:N个。输出:1个。地址码:n 位。应满足2n≥N。 (一、4选1数据选择器 1、逻辑电路:D3、D

2、D1、D0为数据输入端,A1、A0为地址信号输入端,Y为数据输出端,ST为使能端,又称选通端,输入低电平有效。 2、真值表:4选取1数据选择器的真值表。 3.由真值表可写出输出逻辑函数式 (二8选1数据选择器 MSI器件TTL 8:选1数据选择器CT74LS151 1.逻辑功能示意图:D 7、D

6 、D 5 、D 4 、D 3 、D 2 、D 1 、D 为数据输入端,A 2 、A 1 、A 为地址信 号输入端。Y和为互补输出端,ST为使能端,又称选通端,输入低电平有效。

2.数据选择器CT74LS151的真值表 3.输出逻辑函数: ?ST=1 , ??Y=0 , ??????????. ?ST=0 , ???????,??Y= (A 2A 1A 0D 0 +A 2A 1A 0D 1 +A 2A 1A 0D 2 +A 2A 1A 0D 3 +A 2A 1A 0D 4 +A 2A 1A 0D 5 +A 2A 1A 0D 6 + A 2A 1A 0D 7 ST Y= A 2A 1A 0D 0 +A 2A 1A 0D 1 +A 2A 1A 0D 2 +A 2A 1A 0D 3 +A 2A 1A 0D 4 +A 2A 1A 0D 5 +A 2A 1A 0D 6 + A 2A 1A 0D 7 (三用数据选择器实现组合逻辑函数 实现原理:数据选择器是一个逻辑函数的最小项输出器:

数据选择器及其应用

数据选择器及其应用

物联网工程 郭港国 26 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择 器的功能类似一个多掷开关,有四路数据D 0~D 3 ,通过选择控制信号 A 1 、A (地 址码)从四路数据中选中某一路数据送至输出端Q。 1、双四选一数据选择器 74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图4-1,功能如表4-1。 表4-1

图4-1 74LS153引脚功能 S1、S2为两个独立的使能端;A1、A0为公用的地址输入端;1D0~1D3和2D0~ 2D 3分别为两个4选1数据选择器的数据输入端;Q 1 、Q 2 为两个输出端。 1)当使能端S1(S2)=1时,多路开关被禁止,无输出,Q=0。 2)当使能端S1(S2)=0时,多路开关正常工作,根据地址码A 1、A 的状态, 将相应的数据D 0~D 3 送到输出端Q。 如:A 1A =00 则选择D O 数据到输出端,即Q=D 。 A 1A =01 则选择D 1 数据到输出端,即Q=D 1 ,其余类推。 数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。 2、数据选择器的应用—实现逻辑函数 例:用4选1数据选择器74LS153实现函数:ABC C AB C B A BC A F+ + + = 函数F的功能如表(4-2)所示 表4-2 表4-3

数据选择器与数据分配器

数据选择器与数据分配器 本次重点内容: 1、数据选择器的电路原理与功能。 2、用数据选择器实现函数。 3、数字分配器的电路和功能 教学过程 3.3.1 数据选择器 在多路数据传输过程中,经常需要将其中一路信号挑选出来进行传输,这就需要用到数据选择器。 在数据选择器中,通常用地址输入信号来完成挑选数据的任务。如一个4选1的数据选择器,应有两个地址输入端,它共有22=4种不同的组合,每一种组合可选择对应的一路输入数据输出。同理,对一个8选1的数据选择器,应有3个地址输入端。其余类推。 而多路数据分配器的功能正好和数据选择器的相反,它是根据地址码的不同,将一路数据分配到相应的一个输出端上输出。 根据地址码的要求,从多路输入信号中选择其中一路输出的电路,称为数据选择器。 其功能相当于一个受控波段开关。多路输入信号:N个。输出:1个。地址码:n 位。应满足2n≥N。 (一)、4选1数据选择器 1、逻辑电路:D3、D 2、D1、D0为数据输入端,A1、A0为地址信号输入端,Y为数据输出端,ST为使能端,又称选通端,输入低电平有效。 2、真值表:4选取1数据选择器的真值表。

3.由真值表可写出输出逻辑函数式 (二)8选1数据选择器 MSI 器件TTL 8:选1数据选择器CT74LS151 1.逻辑功能示意图:D 7、D 6、D 5、D 4、D 3、D 2、D 1、D 0为数据输入端,A 2、A 1、A 0为地址信号输入端。Y 和 为互补输出端,ST 为使能端,又称选通端,输入低电平有效。 2.数据选择器CT74LS151的真值表

3.输出逻辑函数: Y= (A2A1A0D0 +A2A1A0D1 +A2A1A0D2 +A2A1A0D3 +A2A1A0D4 +A2A1A0D5 +A2A1A0D6 + A2A1A0D7 )ST ?ST=1 , ??Y=0 , ??????????. ?ST=0 , ???????,?? Y= A2A1A0D0 +A2A1A0D1 +A2A1A0D2 +A2A1A0D3 +A2A1A0D4 +A2A1A0D5 +A2A1A0D6 + A2A1A0D7 (三)用数据选择器实现组合逻辑函数 实现原理:数据选择器是一个逻辑函数的最小项输出器:

实验二 数据选择器及其应用

实验二数据选择器及其应用 一、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-1 4选1数据选择器示意图图4-2 74LS151引脚排列 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。

二、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法; 2、学习用数据选择器构成组合逻辑电路的方法。 三、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、74LS151(或CC4512) 74LS153(或CC4539) 四、实验内容 1、测试数据选择器74LS151的逻辑功能。 接图4-7接线,地址端A2、A1、A0、数据端D0~D7、使能端S接逻辑开关,输出端Q接逻辑电平显示器,按74LS151功能表逐项进行测试,记录测试结果。 图4-7 74LS151逻辑功能测试

2、测试74LS153的逻辑功能。 测试方法及步骤同上,记录之。 逻辑功能见下表: 3、用8选1数据选择器74LS151设计三输入多数表决电路。 1)写出设计过程 有三个人进行表决,当其中任意两个人赞同时,输出为真,否则输出为假。真值表如下:

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

8数据选择器和数据分配器

数字电路-08 数据选择器和数据分配器应用实验 一. 实验目的 1. 了解变量译码器和数据选择器的逻辑功能和具体应用。 2. 熟悉中规模组合逻辑器件功能的测试和设计方法。 二. 实验原理 (1)变量译码器 变量译码器有n 个输入,2n 个输出,每个输出唯一地对应一组输入构成的二进制 码,当且仅当输入组合为该码时,输出呈有效电平。中规模TTL 集成译码器有74LS139(双2输入、4输出)、74LS138(3输入、8输出)和74LS154(4输入、16输出),输出均为低电平有效,并具有低电平有效的使能控制端S —- 。变量译码器除在数字系统中起二进制译码作用外,还可实现组合逻辑函数、数据分配等功能。 74LS139的引脚图如图8-1(a )所示,片上有两个独立的2线-4线译码器,各 输出逻辑表达式为: Y —— 0 =01A A S ??、Y —— 1 = 01A A S ??、Y —— 2 =01A A S ??、Y —— 3 = 0 1A A S ?? 显然,当使能S —- 为有效电平“0”时,如果译码器A 1,A 0输入的是逻辑函数的输入 变量A ,B ,则Y —— i 代表了A ,B 构成的最小项m i 的反函数(最大项)。所以,2线-4线通用译码器可附加与非门(与门)实现用标准与-或(标准或-与)表达式表示的二变量组合逻辑函数。同理,n 线-2n 线通用译码器可实现n 变量的组合逻辑函数。 如果把译码器的使能端S 作为数据输入端,则可实现数据分配功能。被分配的串行数字信号D i 从S 输入,当A 1,A 0为不同的二进制码时,D i 信号被分配到译码器对应的输 出端Y —— i 。比如A1A0为“11”时, D i 信号被分配到Y —— 3,此时Y —— 0~Y —— 2输出均为高电平。 (a ) (b ) (c ) 图8-1 器件引脚排列

实验二4选1数据选择器的设计

实验二 4选1数据选择器的设计 实验学时:2学时 实验类型:设计 实验要求:必做 一、实验目的 通过实验让学生掌握组合逻辑电路的EDA原理图输入设计法,通过电路的仿真和硬件验证,让学生进一步了解4选1数据选择器的功能。 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关。数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。数据选择器的电路结构一般由于活门阵列而成,也有用传输门开关和门电路混合而成的。 图1 4选1数据选择器原理图 图1是一个4选1数据选择器,d3—d0是数据输入端,s1和s0是控制输入端,y是4选1数据输出端。 三、实验内容 设计并实现一个4选1数据选择器,要求根据原理图写出它的逻辑关系,并利用开发工具软件对其进行编译和仿真,最后通过实验开发系统对其进行硬件验证。

四、实验步骤 1)在Maxplus2的图形编辑方式下,从prim元件库中调出4选1数据选择器电路所需要的元件。并按照图1所示的原理电路,完成4选1数据选择器原理图的输入设计。 2)保存好原理图文件,以为文件名保存在工程目录中。执行Compiler命令对设计文件进行编译。执行Create Default Symbol命令,可为4选1数据选择器生成一个元件符号。 3)在波形编辑方式下,编辑的波形文件,并完成输入信号d3,d2,d1和d0,控制信号s1和s0电平的设置。波形文件编辑结束后以为波形文件名存盘。执行仿真器Simulator命令,仿真开始,观察仿真波形进行设计电路的功能验证。 五、实验结果 1. 4选1数据选择器的逻辑功能及真值表 2.仿真波形

3线8线数据分配器

3线-8线数据分配器 08电信2班成员:罗俊麦文清徐宇詹天文张广平 一.电路名称 3线-8线数据分配器 二.电路功能及I/O口介绍 数据分配器的功能是将一路输入数据从多个输出通道中选择一个通道输出。 输入信号是一路数据D和三个地址输入端A2、A1、A0;输出信号是八路数据Y0、Y1、Y2、Y3、Y4、Y5、Y6、Y7。数据可以是一位二进制数,也可以是多位二进制数。 四.程序代码 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DEMUX IS PORT( D :IN STD_LOGIC_VECTOR(7 DOWNTO 0); A : IN STD_LOGIC_VECTOR(2 DOWNTO 0); Y0,Y1,Y2,Y3,Y4,Y5,Y6,Y7 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); end DEMUX; ARCHITECTURE STR OF DEMUX IS BEGIN PROCESS(D,A) BEGIN

Y0 <= "00000000"; Y1 <= "00000000"; Y2 <= "00000000";Y3 <= "00000000";Y4 <= "00000000"; Y5 <= "00000000";Y6 <= "00000000";Y7 <= "00000000"; CASE A IS WHEN "000" => Y0 <= D; WHEN "001" => Y1 <= D; WHEN "010" => Y2 <= D; WHEN "011" => Y3 <= D; WHEN "100" => Y4 <= D; WHEN "101" => Y5 <= D; WHEN "110" => Y6 <= D; WHEN "111" => Y7 <= D; END CASE; END PROCESS; END STR; 五.仿真结果

4选1数据选择器

电子设计技术课程设计 四位加法器 姓名:黄政 学号:2011059605 班级:通信工程zb421101 指导教师:郑雪娇 2013年12月26日 1

一、设计目的 1. 熟练使用Verilog HDL语言在mux plusⅡ软件平台上编写程序,完成编译工作。 2.学习VHDL程序中数据对象、数据类型、顺序语句、并行语句的综合使用,了解VHDL程序的基本结构。 3.掌握使用EDA工具设计数字系统的设计思路和设计方法。学习VHDL基本逻辑电路的综合设计应用。 4.学习实验开发系统的使用方法。 二、设计内容 1、设计并调试好一个4选1数据选择器。 2、仿真、分析结果、绘制波形 三、设计过程 1、程序的设计 打开mux plusⅡ,单击file,选择new ,选择Editor file类型。进行文本编辑,编辑完成后并以“max4_1.vhd”(注意后缀是.Vhd)为文件名,存在自己建立的工程目录D:\(自己的文件夹)内。进行存盘操作时,系统在弹出的存盘操作对话框中,自动保留了上一次存盘时的文件名和文件目录,不要随意单击“OK”按钮结束存盘,一定要填入正确的文件名并选择正确的工程目录后,才能单击“OK”按钮存盘,这是上机实验时最容易忽略和出错的地方。程序设计内容如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX41A IS PORT(D3,D2,D1,D0,A1,A0,EN:IN STD_LOGIC; Y:OUT STD_LOGIC); END ENTITY MUX41A; ARCHITECTURE ONE OF MUX41A IS BEGIN Y<=D0 WHEN A1='0' AND A0='0' AND EN='1' ELSE D1 WHEN A1='0' AND A0='1' AND EN='1' ELSE D2 WHEN A1='1' AND A0='0' AND EN='1'

数据选择器及其应用解读

实验五数据选择器及其应用 [实验目的] 1、掌握中规模集成数据选择器的逻辑功能及使用方法。 2、学习用数据选择器构成组合逻辑电路的方法。 [实验原理] 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-5-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 图4-5-1 4选1数据选择器示意图图4-5-2 74LS151引脚排列 表4-5-1 1、8选1数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-5-2,功能如表4-5-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择1个需要的数据送到输出端Q,S为使能端,低电平有效。 (1)使能端S——=1时,不论A2~A0状态如何,均无输出(Q=0,Q——=1),多路开关被禁止。 (2)使能端S——=0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中

某一个通道的数据输送到输出端Q 。 如:A 2A 1A 0=000,则选择D 0数据到输出端,即Q=0。 如:A 2A 1A 0=001,则选择D 1数据到输出端,即Q=D 1,其余类推。 2、双四选一数据选择器74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。74LS153的引脚排列如图4-5-3,功能如表4-5-2。 表4-5-2 图4-5-3 74LS153引脚功能 1S —— 、2S —— 为两个独立的使能端,A 1、A 0为公用的地址输入端;1D 0~1D 3和2D 0~2D 3 分别为两个4选1数据选择器的数据输入端;Q 1、Q 2为两个输出端。 (1)当使能端1S —— (2S —— )=1时,多路开关被禁止,无输出,Q=0. (2)当使能端1S —— (2S —— )=0时,多路开关正常工作,根据地址码A 1、A 0的状态,将相应的数据D 0~D 3送到输出端Q 。 如:A 1A 0=00,则选择D 0数据到输出端,即Q=D 0。 A 1A 0=01,则选择D 1数据到输出端,即Q=D 1,其余类推。 数据选择器的用途很多,例如多通道传输、数码比较、并行码变串行码以及实现逻辑函数等。 3、数据选择器的应用-实现逻辑函数 例1:用8选1数据选择器74LS151实现函数F=AB — +A — B (1)列出函数F 的功能表如表4-5-4所示。 (2)将A 、B 加到地址端A 1、A 0,而A 2接地,由表4-5-3可见,将D 1、D 2接“1”及D 0、D 3接地,其余数据输入端D 4~D 7都接地,则8选1数据选择器的输出Q ,便实现了函数 F=AB — +A — B 接线图如图4-5-4所示。 表4-5-3 图4-5-4 8选1数据选择器实现F=AB — +A — B 的接线图 显然,当函数输入变量数小于数据选择器的地址端(A)时,应将不用的地址端及不用的数据输入端(D)都接地。 例2:用双4选1数据选择器74LS153实现函数F= A — BC + AB — C +ABC — +ABC 函数F 的功能如表4-5-4所示。

实验四数据选择器及其应用

实验四数据选择器及其应用 以下是为大家整理的实验四数据选择器及其应用的相关范文,本文关键词为实验,数据,选择器,及其,应用,实验,数据,选择器,及其,应,您可以从右上方搜索框检索更多相关文章,如果您觉得有用,请继续关注我们并推荐给您的好友,您可以在教育文库中查看更多范文。 实验四数据选择器及其应用 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法

二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-14选1数据选择器示意图图4-274Ls151引脚排列 表4-1输入s输出A0×01010101Q0D0D1D2D3D4D5D6D7QA2×00001111A1×00110011100 0000001D0D1D2D3D4D5D6D7数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、 16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 1、八选一数据选择器74Ls151 74Ls151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,s为使能端,低电平有效。 1)使能端s=1时,不论A2~A0状态如何,均无输出(Q=0,Q=1),多路开关被禁止。

数电 实验四 数据选择器及其应用 实验报告

实验四数据选择器及其应用 一、实验目的 1.掌握中规模集成数据选择器的逻辑功能及使用方法 2.学习用数据选择器构成组合逻辑电路的方法 二、实验设备与器件 1.+5V直流电源 2.逻辑电平开关 3.逻辑电平显示器 4.74LS151 三、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图7-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输们开关和门电路混合而成的。 八选一数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制姨妈,从8个输入数据D0~D7中,选择一个需要的数据送到输出端A, S为使能端,低电平有效。

1)使能端?S=1时,无论A2~A0状态如何,均无输出(Q=0,?Q=1),多路开关被禁止。2)使能端S=0时,多路开关正常工作。根据地址码A1、A2、A3的状态选择D0~D7中某一个通道的数据输送到输出端Q。 此处以A2A1A0=010为例,则选择D2数据到输出端,即Q=D2。 D2为0,?Q亮。D2为1,Q亮。 使能端为1,D2为1,?Q亮。使能端为1,D2变为0,?Q仍然亮。

74LS151功能测试结果表4-1 实现逻辑函数F(AB)=A?B+?AB+A B 设计过程:逻辑表

8选1数据选择器74LS151

8选1数据选择器74L S15 1 74LS151为互补输出的8选1数据选择器,引脚排列如图所示,功能见表。? 选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。 (1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。838电子(2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。 如:CBA=000,则选择D0数据到输出端,即Y=D0。新艺图库 如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。工作原理ab126计算公式大全 74LS151功能表: 在数字系统中,往往要求将并行输出的数据转换成串行输出,用数据选择器很容易完成这种转换。例如将四位的并行数据送到四选一数据选择器的数据端上,然后在A1,A0地址输入端周期性顺序给出00 01 10 11,则在输出端将输出串行数据,不断重复。 数据选择器除了能从多路数据中选择输出信号外,还可以实现并行数据到串行数据的转换,作函数发生器等。 1.逻辑特性 (1) 逻辑功能:从多路输入中选中某一路送至输出端,输出对输入的选择受选择控制量控制。通常,对于一个具有2n路输入和一路输出的多路选择器有n个选择控制变量,控制变量的每种取值组合对应选中一路输入送至输出。 (2) 构成思想: 多路选择器的构成思想相当于一个单刀多掷开关,即 数据选择器的原理 o74LS151为互补输出的8选1数据选择器,引脚排列如图3-2,功能如表3-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择 一个需要的数据送到输出端Q,为使能端,低电平有效。

实验三译码器及其应用、数据选择器及其应用

实验三译码器及其应用、数据选择器及其应用 一、实验目的 1 ?掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2 ?用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本 方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端S B、S C和S A,当S A=1、 S B= S C =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平?利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1 ?译码器 一个n变量的译码器的输出包含了n变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变 量的全部最小项的译码?参见模拟电子技术基础教材中3线/8线译码器功能表. 用n变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n的组合逻辑电路. 2 ?数据选择器 一个n个地址端的数据选择器, 具有2n个数据选择的功能.例如,数据选择器74LS151, n=3,可完成八选一的功能?参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 丫A2AA0D0 A2AA0D1A 2 Al A o D 2 A? A1A0D 3 A2A A0D 4 A2A A0D 5 A2 A A) A2AA0D7 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1 ?三输入变量译码器功能测试 地址输入端AA1A0是一组三位二进制代码,其中A权最高,A o权最低,按实验电路图3-1接线,将实验结果填入

4选1及16选1的数据选择器

4选1的数据选择器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mux41 is port(a,b,c,d:in std_logic; s:in std_logic_vector(1 downto 0); y:out std_logic); end; architecture one of mux41 is begin y<= a when s=0 else b when s=1 else c when s=2 else d; end; 16选1数据选择器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mux161 is port(ain,bin,cin,din,ein,fin,gin,hin,iin,jin,kin,lin,min,nin,oin,pin:in std_logic; s1,s2:in std_logic_vector(1 downto 0); y:out std_logic); end; architecture one of mux161 is component mux41 port(a,b,c,d:in std_logic; s:in std_logic_vector(1 downto 0); y:out std_logic); end component; signal e,f,g,h:std_logic; begin u1:mux41 port map(ain,bin,cin,din,s1,e); u2:mux41 port map(ein,fin,gin,hin,s1,f); u3:mux41 port map(iin,jin,kin,lin,s1,g); u4:mux41 port map(min,nin,oin,pin,s1,h); u5:mux41 port map(e,f,g,h,s2,y); end;

八选一数据选择器

《集成电路设计实践》报告 题目:8选1数据选择器 院系:自动化学院电子工程系 专业班级:微电 学生学号: 学生姓名: 指导教师姓名:职称:讲师 起止时间:2015-12-21——2016-1-9 成绩: 设计任务 1) 依据8选1数据选择器的真值表,给出八选一MUX电路图,完成由电路图到晶体管级的转化(需提出至少2种方案); 2) 绘制原理图(Sedit),完成电路特性模拟(Tspice,瞬态特性),给出电路最大延时时间; 3) 遵循设计规则完成晶体管级电路图的版图,流程如下:版图布局规划-基本单元绘制-功能块的绘制-布线规划-总体版图); 4) 版图检查与验证(DRC检查);

5) 针对自己画的版图,给出实现该电路的工艺流程图。 电路设计方案的确定 数据选择器是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。它的作用相当于多个输入的单刀多掷开关,其示意图如下所示 数据选择器除了可以实现一些组合逻辑功能以外,还可以做分时多路传输电路,函数发生器及数码比较器等,常见的数据比较器有2选1,4选1,8选1,16选1电路。

示意图 在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器。 数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号。 本次设计的是8选1数据选择器。选择控制端(地址端)为K2,K1,K0,按二进制译码,从8个输入数据D0-D7中,选择一个需要的数据送到输出端Y。 根据多路开关的开关状态(地址码)K2,K1,K0的状态选择D0-D7中某一个通道的数据输送到输出端Y。 如:K2K1K0=000,则选择D0数据到输出端,即Y=D0。 如:K2K1K0=001,则选择D1数据到输出端,即Y=D1,其余类推。 8选1 MUX功能表如下: 电路图设计如下: 1:

实验三 译码器、数据选择器及其应用

实验三译码器、数据选择器及其应用一、实验目的 1.熟练掌握集成译码器、数据选择器的工作原理、逻辑功能。2.熟练掌握集成译码器、数据选择器实现某些逻辑函数。 二、实验器件 1、3线-8线译码器74LS138×1 2、8选1数据选择器74LS151×1 3、4输入二与非门74LS20×1 4、六反相器74LS04×1 三、实验内容 1、74LS138的功能测试 (1)、74LS138引脚图: (2)、74LS138功能表:

注:2G =G 2A +G 2B 2、74LS138用作逻辑函数发生器 (1)、用74LS138和门电路实现逻辑函数 F=AB+AC+BC 实验步骤: 将逻辑函数转化为最小项逻辑表达式 画卡诺图: 由卡诺图得到:F=A BC+A B C+AB C +ABC=Σm (3,5,6,7) =7.6.5.3m m m m =7.6.5.3Y Y Y Y 用一片74LS138和一片74LS20搭建电路:

(2)、用74LS138和门电路实现逻辑函数F=A BC+A B C+AB C(判偶电路) (3)、用74LS138和门电路设计一个全加器 3、74LS151功能测试 (1)、74LS151引脚图: (2)、74LS151功能表:

4、74LS151和门电路实现逻辑函数 (1)、用74LS151和门电路实现逻辑函数F=AB+AC+BC 实验步骤: 将逻辑函数转化为最小项逻辑表达式 画卡诺图: 由卡诺图得到:F=A BC+A B C+AB C+ABC=Σm(3,5,6,7) =m0.0+m1.0+m2.0+m3.1+m4.0+m5.1+m6.1+m7.1 74LS151输出Y=m0.D0+m1.D1+m2.D2+m3.D3+m4.D4+m5.D5+m6.D6+m7.D7若令F=Y,A=C,B=B,C=A 则D0= D1= D2= D4=0 D3= D5= D6= D7=1 根据以上分析,画出电路图: (2)、用数据选择器74LS151实现函数F=Σm (0,2,7,8,13)。 F=A B C D+A(B C D)+A(BCD)+A B C D+A(B C D) =(A+A)B C D+ A(B C D)+A(BCD)+A(B C D) =1.m0+A.m2+A. m5+A m7

四选一数据选择器的设计

XX大学 实习(实训)报告 实习(实训)名称:电工电子实习 学院: 专业、班级: 指导教师: 报告人: 学号: 时间: 2011年7月1日至 2011年7月8日

实习主要内容: (1)了解EDA技术的发展及应用 (2)掌握VHDL语言的基础知识,熟悉在数字电路系统设计中VHDL程序设计 (3)学习MAX+PLUSⅡ软件的应用方法 (4)应用EDA技术的设计方法完成4选1数据选择器的设计(采用原理图和文本法两种方法实现),并在MAX+PLUSⅡ上仿真 主要收获体会与存在的问题: 通过课程设计,发现自己的很多不足,自己知识的很多漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。同时也体会到设计课的重要性和目的性所在。同时这次实习也有很多收获,首先我们学会了MAX+PLUSⅡ软件的应用方法,并且能够独立设计出原理图,其次本次设计课培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。 指导教师意见: 建议成绩: 指导教师签字: 年月日备注:

实习报告 1.目的 (1)通过实习掌握maxplus2软件的使用和VHDL语言的基础知识 (2)应用maxplus2完成四选一数据选择器的设计,并实现仿真。 2.内容 2.1 maxplus2的认识 (1)Max+plusⅡ是Altera公司提供的FPGA/CPLD开发集成环境,Altera 是世界上最大可编程逻辑器件的供应商之一。Max+plusⅡ界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+plusⅡ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。 (2)、Max+plusⅡ开发系统的特点很多,比如开放性的界面,编辑过程与结构无关,丰富的设计库,硬件描述语等。 (3)、Max+plusⅡ软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数。Max+Plus II 工具软件,是现代最先进的仿真设计技术。在普通电脑上就可以操作,十分方便。Max+Plus II 工具软件,是功能强大的EDA综合设计系统工具。 2.24选1数据选择器 (1)创建电路 原理:数据选择器又称为多路转换器或多路开关,它是数字系统中常用的一种典型电路。其主要功能是从多路数据中选择其中一路信号发送出去。所以它是一个多输入、单输出的组合逻辑电路。 4选1数据选择器的元件符号如图一所示,其中D0、D1、D2、D3是4位数据输入端,A0和A0是控制输入端,Y是数据输出端。当A1A0=00时,输出Y=D1;A1A0=01时,Y=D1;A1A0=10时,Y=D2;A1A0=11,Y=D3。。 图一4选1数据选择器的 元件符号

相关文档
相关文档 最新文档