文档库 最新最全的文档下载
当前位置:文档库 › KX创新设计综合实验系统讲义

KX创新设计综合实验系统讲义

KX创新设计综合实验系统讲义
KX创新设计综合实验系统讲义

KX_DN5/7系列

实验讲义V3

杭州康芯电子有限公司

https://www.wendangku.net/doc/605159632.html,

目 录

第一章模块化创新设计综合实验开发系统

1.1 KX-DN系列模块化综合创新实验开发系统的实验与自主设计类型

1.2 配套教材(主要作者:潘松,黄继业,潘明,陈龙)

第二章 KX_DN主系统平台

2.1 模块接插座结构与功能

2.1.1 A类实验模块可插的26针双插座

2.1.2 B类插座实验模块可插的10针双插座

2.1.3 DDS函数信号发生器模块插座

2.2 标准时钟信号源

2.3 标准电压源和熔丝座

2.4 高低电平输出控制模块

2.5 多功能逻辑笔

2.6 发光管显示模块和其他

2.7 可重构型DDS全数字函数信号发生器

第三章 KX_DN系统主要实验功能模块

3.1 大规模FPGA模块

3.2 单片机模块

3.3 键盘及LED显示模块

3.3.1 4X4十六键键盘

3.3.2 综合键盘模块

3.3.3 7数码管串行静态显示模块

3.3.4 4数码管动态扫描显示和1数码管7段显示控制模块

3.3.5 综合控制和显示模块

3.4 A/D和D/A转换模块

3.4.1 超高速并行接口A/D和D/A模块

3.4.2 高速12位SPI串行ADC TLV2541

3.4.3 高速12位串行ADC ADS7816

3.4.4 高速10位SPI串行ADC TLV1572

3.4.5 双通道 10位QSPI/SPI/DSP串行接口高速DAC TLV5637

3.4.6 双通道DAC和ADC标准模块

3.4.7 16位高分辨率ADC ADS1100 16模块

3.4.8 8位ADC ADC0832模块

3.5 液晶显示模块

3.5.1 点阵式128X64液晶显示模块

3.5.2 4行X20字字符型液晶显示模块

3.5.3 800X480数字TFT彩屏

3.5.4 2行X16字字符型液晶显示模块

3.6 其它基本模块

3.6.1 无线编码通信和数字温度模块

3.6.2 电机模块

3.6.3 USB通信实验模块

3.6.4 VGA/RS23接口模块

3.6.5 CAN/RS485总线模块

3.6.6 单片机扩展存储器模块

3.6.7 双串行存储器/逻辑笔设计模块

3.6.8 看门狗定时器/时钟日历模块

3.6.9 红外发射与接收模块

3.6.10 FPGA配置电路设计模块

3.6.11 以太网模块

3.6.12 GPS实验开发模块

3.6.13 Cyclone III EP3C40Q240 FPGA模块

第四章 KX_DN系统部分配套实验

4.1 针对HDL设计的EDA基本实验与设计

实验4-1.计数器设计

实验4-2.多路选择器设计

实验4-3.8位全加器设计

实验4-4.原理图输入法设计频率计

实验4-5.十六进制7段数码显示译码器设计

实验4-6 数码扫描显示电路设计

实验4-7 半整数与奇数分频器设计

实验4-8 模可控计数器设计

实验4-9 VGA彩条信号显示控制电路设计

实验4-10 移位相加型8位硬件乘法器设计

实验4-11 移位寄存器设计

实验4-12 串行静态显示控制电路设计

4.2针对LPM宏模块应用的EDA实验与设计

实验4-13.查表式硬件运算器设计

实验4-14. 正弦信号发生器设计

实验4-15. 八位数码显示频率计设计

实验4-16.简易逻辑分析仪设计

实验4-17. DDS正弦信号发生器设计

实验4-18. 移相信号发生器设计

实验4-19. 4X4阵列键盘键信号检测电路设计

实验4-20. VGA简单图像显示控制模块设计

实验4-21 SPWM脉宽调制控制系统设计

实验4-22 基于DES数据加密标准的加解密系统设计

实验4-23 线性反馈移位寄存器设计

实验4-24 步进电机细分控制电路设计

实验4-25 基于FT245BM的USB通信控制模块设计

实验4-26 直流电机综合测控系统设计

实验4-27 VGA动画图像显示控制电路设计

实验4-28 AM幅度调制信号发生器设计

4.3 针对状态机应用的EDA实验与设计

实验4-29 序列检测器设计

实验4-30 ADC采样控制电路设计

实验4-31 数据采集模块设计

实验4-32 五功能智能逻辑笔设计

实验4-33 比较器加DAC器件实现ADC转换功能电路设计

实验4-34 通用异步收发器UART设计

实验4-35 点阵型与字符型液晶显示器驱动控制电路设

实验4-36 串行ADC/DAC控制电路设计

实验4-37 硬件消抖动电路设计

实验4-38 数字彩色液晶显示控制电路设计

实验4-39 状态机控制串/并转换8数码静态显示

实验4-40 基于CPLD的FPGA PS模式编程配置控制电路设计实验4-41 基于FPGA的红外双向通信电路设计

4.4 EDA综合实验与设计

实验4-42 乐曲硬件演奏电路设计

实验4-43 正交幅度调制与解调系统实现

实验4-44 基于UART串口控制的模型电子琴设计

实验4-45 基于M9K RAM型LPM移位寄存器设计

实验4-46 单片全数字型DDS函数信号发生器综合设计实验

实验4-47 乒乓球游戏电路设计

实验4-48 PS2键盘控制模型电子琴电路设计

实验4-49 GPS应用的通信电路设计

实验4-50 在ModelSim上进行4位计数器仿真

实验4-51 在ModelSim上进行16位累加器设计仿真

第五章 SOPC实验与设计

实验5-1 基于SOPC的多功能数字钟设计

实验5-2 彩色液晶显示控制电路设计

实验5-3 基于Nios II的直流电机控制

实验5-4 自定制硬件乘法器

实验5-5 硬件乐曲演播系统设计

实验5-6 基于UART的I2C总线传输

实验5-7 基于Nios II的等进度频率计程序设计

第六章单片机系统综合实验

6.1 单片机基本实验

实验6-1.存储器块清零程序设计

实验6-2 二进制到BCD转换程序设计

实验6-3 十六进制到ASCII码转换程序设计

实验6-4 存储块移动程序设计

实验6-5 多分支程序

实验6-6 数据排序程序设计

实验6-7 P1口输入、输出实验

实验6-8 交通灯控制(软件延时法)

实验6-9 交通灯控制(定时器延时法)

实验6-10 计数器应用实验

实验6-11 外部中断实验

实验6-12 定时器实验1(P1口状态取反)

实验6-13 定时器输出PWM实验

实验6-14 外部中断实验

6.2 单片机扩展和接口实验与设计

实验6-15 单片机串口扩展

实验6-16 键盘与液晶显示控制

实验6-17 单片机串行通信和红外双向通信

实验6-18 单片机扩展X5045看门狗器件

实验6-19 单片机扩展DS1302时钟/日历器件

实验6-20 SPI串行DAC TLV5637与单片机的接口

实验6-21 串行精密ADC器件ADS1100与单片机的接口

实验6-22 串行高速ADC器件ADS7816与单片机的接口

实验6-23 高速微功耗串行ADC器件TLV2541与单片机的接口实验6-24 双通道A/D转换芯片ADC0832与单片机的接口

实验6-25 高速同步10位串行A/D转换器与单片机的接口

第七章单片机扩展FPGA综合实验与设计

实验7-1 单片机串行扩展FPGA系统设计

实验7-2 单片机数据交换FPGA扩展电路设计

实验7-3 扩展外部数据存储器的单片机与FPGA扩展系统设计实验7-4 四通道PWM信号发生器及其单片机控制系统设计

实验7-5 移相信号发生器的FPGA与单片机扩展系统设计

实验7-6 里萨如图波形发生器的单片机与FPGA扩展系统设计实验7-7 数字电压表FPGA单片系统设计

实验7-8 数字频率计与单片机串行通信接口功能设计

实验7-9 直流电机测控单片机与FPGA扩展系统设计

实验7-10 等精度频率/脉宽/占空比/相位多功能测试仪设计

第八章基于单片机IP核的FPGA片上系统SOC设计

实验8-1.单片机串口扩展FPGA片上系统SOC设计

实验8-2.扩展外部数据存储器的FPGA单片系统设计

实验8-3.四通道PWM信号发生器及单片系统设计

实验8-4.移相信号发生器的FPGA片上系统SOC设计

实验8-5.里萨如图波形发生器的FPGA片上系统设计

实验8-6.数字电压表FPGA单片系统SOC设计

实验8-7.数字频率计与单片机串行通信接口功能设计

实验8-8.直流电机测控FPGA单片系统设计

实验8-9.等精度频率计FPGA单片系统设计

实验8-10.基于FPGA的红外双向通信单片系统设计

附录1 MIF文件生成器使用方法

附录2 STC89C单片机编程下载方法

第一章 模块化创新设计综合实验开发系统 诸如EDA、单片机、DSP、SOPC等传统实验平台多数是整体结构型的,虽也可完成多种类型实验,但由于整体结构不可变动,故实验项目和类型是预先设定的、固定的,很难有自主发挥的余地,学生的创新思想与创新设计如果与实验系统的结构不吻合,便无法在此平台上获得验证;同样,教师若有新的联系教学实际的实验项目,也无法融入固定结构的实验系统供学生实验。因此,此类实验平台不具备可持续发展的潜力,没有自我更新和随需要升级的能力,用几年后只能被淘汰。

模块自由组合型创新设计综合实验开发系统很好地解决了这些问题,成为高校目前十分流行的实践平台,其主要特点是:

◆ 由于系统的各实验功能模块可自由组合、增减,故不仅可实现的实验项目多,类型广,更重要的是很容易实现形式多样的创新设计;

◆ 由于各类实验模块功能集中,结构经典,接口灵活,对于任何一项具体实验设计都能给学生独立系统设计的体验,甚至可以脱离系统平台;

◆ 面对不同的专业特点,不同的实践要求和不同的教学对象,教师,甚至学生自己可以动手为此平台开发增加新的实验和创新设计模块;

◆ 由于系统上的各接口,以及插件模块的接口都是统一标准的,康芯提供所有接口电路,因此此系统可以通过增加相应的模块而随时升级。

1.1 KX-DN系列模块化综合创新实验开发系统的实验与自主设计类型

☆ EDA技术系列实验。有许多配套教材。这些教材[1][2]所含的60多个经典和创新实验与设计项目,多数含源程序演示示例。

☆ SOPC技术系列实验。配套教材[1]含有SOPC实验,多数含源程序演示示例。

☆单片机技术系列实验。配套教材[2]。可完成许多传统和现代的单片机实验,特别包括与FPGA接口的实用系统设计实验项目。

☆基于单片机IP核的SOC片上系统设计系列实验。配套教材[2]。基于CycloneIII硬件平台的8051/8088核系统设计,含源程序演示示例。

☆数字电路系列实验。配套教材[3]。含数十相关实验,特别是基于QuartusII原理图和FPGA的数字电路实验。

☆基于DSP Builder和MATLAB的DSP系列实验。配套教材[5]。数十基于DSP Builder和MATLAB的硬件DSP系列实验设计项目。

☆计算机组成与设计系列实验。配套教材[4]。基于配备的大规模FPGA和各类接口设备,成为计算机组成与设计创新实验的最好选择。

☆电子设计竞赛系列项目开发训练。作为电子设计训练平台,以其极大的灵活性和实用性,比传统固定结构的实验系统更能胜任此项任务。

注. 图2-1A的KX_DN平台上可以插图1-8所示所有模块,构成不同配置的创新开发系统,如KX_DN5等。KX_DN平台每一模块接口基本相同,因此多数模块可以安插在系统上的任一插座上,十分灵活。插座上也可插教师或学生自主开发的升级模块或特定设计项目的模块。由教材[1][2]给出的大量的实验和设计项目涉及许多不同类型的的扩展模块,主系统平台上有许多标准接口,以其为核心,对于不同的实验设计项目,可接插上对应的接口模块,如GPS模块、彩色液晶模块、USB模块、各类ADC/DAC模块等。这些模块可以是现成的,也可以根据主系统平台的标准接口和创新要求由读者(教师或学生)自行开发。

1.2 配套教材(主要作者:潘松,黄继业,潘明,陈龙)

1.配套教材[1](图1-2):《EDA技术实用教程-VerilogHDL版》第4版,2010年科学出版社出版。主要详细介绍VerilogHDL语言,QuartusII、ModelSim、Synplify用法,CycloneIII FPGA开发,单片机核SOC,以及SOPC开发,含六十多个经典和创新性实验与设计。

2.配套教材[2]:《单片机原理及实用技术》,2010年清华大学出版社出版。本书主要介绍单片机原理与应用技术。主要章节保留了经典51系列单片机的基本教学内容,并沿袭了传统的教学流程,但其中的许多内容对传统的单片机应用理念有了明显的突破,对此课程的教学目标有了全新的拓展和延伸,例如删除了许多传统

情况下必讲而已无任何实用价值的内容,增加了实用ADC/DAC的内容,以及单片机与FPGA扩展和基于单片机IP软核的片上系统构建及应用的知识,从而开拓了一个将普通单片机技术、FPGA开发技术、EDA技术、片上系统应用技术有机融合、综合运用和培养自主创新能力的平台。

此教材可作为高等院校电子信息、通信工程、工业自动化和仪器仪表等学科或专业的本科生或高职生的单片机技术或电子设计竞赛培训等课程的教材或参考书。

3. 配套教材[3](图1-6):《数字电子技术基础》 2008年科学出版社出版。基于全新的数字电子技术教学理念的数字电路教材。

4. 配套教材[4](图1-4):《现代计算机组成原理》2007年科学出版社出版。

5. 配套教材[5](图1-5):《EDA技术与VHDL》第3版,2009年清华大学出版社出版。主要详细介绍VHDL 语言,QuartusII、DSP-Builder应用开发。

图1-2 配套教材图1-3 配套教材图1-4 配套教材

图1-5 配套教材图1-6 配套教材图1-7 配套教材(高职教材)

1.3 KX-DN系统模块化结构特点

由于本讲义给出的大量的实验和设计项目涉及许多不同类型的的扩展模块,主系统平台上(图1-8的中心模块)有许多标准接口,以其为核心,对于不同的实验设计项目,可接插上对应的接口模块,如GPS模块、彩

色液晶模块、USB模块、各类ADC/DAC模块等。这些模块可以是现成的,也可以根据主系统平台的标准接口和创新要求由教师或学生自行开发。

如果实验者手头已有EDA实验系统,也同样能完成本讲义的实验,只是要注意讲义中给出的不少示例中对FPGA的引脚锁定要作更改;如果目标芯片和封装都不一样,更需注意更改。特别是对于现成的示例源文件(都是以Cyclone III FPGA作目标器件的),则要作较多的改变。如对Cyclone,除引脚和封装外,还需改变LPM存储器、锁相环等。但若锁相环的设置无法吻合,则只能放弃。

康芯仍然推荐使用Altera较新近推出的Cyclone III FPGA作为实验目标器件,这不仅仅是本教材所有硬件验证示例和实验都是基于此系列器件,更重要的是Cyclone III FPGA的高性价比、先进的结构和开发市场的趋势。仅以其高集成度、高速、大规模内嵌RAM、良好的避免毛刺性能以及优秀的锁相环的性能,使之前的诸多系列(如ACEX、FLEX、APEX、Cyclone II等)都不可望其项背。

图1-8 模块化创新设计综合实验系统结构示意图

第二章 KX_DN主系统平台

本章主要介绍KX_DN主系统平台的结构和性能,更形象的说明可以参考/KX_DN7/中ppt/PDF文件:

/KX_DN7/重要ppt_PDF文件/KX_DN电子设计综合系统.ppt/。图2-1A是KX_DN主系统平台。

图2-1A KX_DN主系统平台图2-2 DDS函数信号发生器主板

2.1 模块接插座结构与功能

为了使实验系统能更好地实现实验者自主创新能力和工程实践能力的提高,KX_DN系统采用了模块化结

构,在如图2-1所示的系统平台上安排较多数量的实验模块插座,使得各类功能模块即能插于主系统上,构建一个更大的设计结构,也可脱离主板系统,单独构建独立系统,以使实验者能更好地体会自主系统设计的过程。

图2-1B 接插不同模块的KX_DN系统图2-1C 接插不同模块的KX_DN系统

在如图2-1A所示的系统平台上的模块插座有三类:

2.1.1 A类实验模块可插的26针双插座

此类插座共有8个,每个含两个26针的插座。它们的尺寸大小,结构布置和信号安排大致相同。所以以下所述的多数实验功能模块可以随意插在这8个插座中任何一个位置上,这为实验系统的灵活构建奠定了基础。

但如果仔细观察,就会发现这8套插座的信号配置也稍有不同之处,所以对于不同的实验模块,以及不同的实验需求,应该具体考虑实验模块所插的位置。这8个插座的信号相同处与不同处主要表现于以下方面:

1、8个A类插座的相同处是,在相同的信号脚上都含有地GND和工作电源VCC(+5V);

2、第一个不同处是时钟信号的布置:含有20MHz和8Hz信号的插座有一个,即A4插座。有的功能模块上需要此频率的时钟信号,如FPGA模块和单片机模块等。

含有10MHz和8Hz信号的插座有3个,即A5、A7、A8插座(A8座还多了一个625kHz频率信号)。实验中插功能模块时,也要根据模块的具体情况来确定实验模块插在哪里最合适。例如A8上插含ADC0809最合适,因为0809需要一个500kHz的工作时钟(当然也可通过FPGA的锁相环给出的时钟,这就无需此625k时钟了)。

注意,在插座上安排的时钟,通常与特定实验模块中对应的插针吻合,具体的模块上会有说明。

3、第二个不同处是+/-12V电压的设置。为了防止由于不当心的差错(尽管每一模块已经有防插反措施),造成烧毁器件,所以只安排了插座A8和B4有+/-12V电压。布置此电压的插座主要是为了某些需要此电压的模块,如A/D的D/A模块等。所以对于需要+/-12V高压的模块必须插于A8或B4座上。

4、第三个不同处是3.3V电压的布置:含有此电压的插座有:A4、A

5、A7、A8。

注意,通常,推荐插座A3上插20字X4行字符型液晶,插座A6上插4X4键盘,这样有利于板上的DDS 函数信号发生器的使用,详细情况在后面介绍。

2.1.2 B类插座实验模块可插的10针双插座

此类插座有4个,每个含两个10针的插座。它们的尺寸大小,结构布置和信号安排也基本相同。一些实验功能模块必须插在此类插座上。注意,其中B4座含有更多的信号,除GND和VCC外,还包括+/-12V高压,10MHz时钟信号。

在实验前应该充分了解这些座上的信号布置,以便安排接插适当的实验功能模块。当然实验者也可根据插座的信号设定和插座尺寸,自己来设计需要的实验模块。

2.1.3 DDS函数信号发生器模块插座

此插座位于平台的右上方,只能插DDS函数信号发生器专用模块(图2-2)。它必须结合插座A3上插的20字X4行字符型液晶和插座A6上插的4X4键盘,联合使用。这是实验的辅助测试和信号系统。由于此系统设计的操作较多,功能也较丰富,所以必须参考ppt/PDF文件,以便更详细地了解使用方法。对应文件是:

/KX_DN7/重要ppt_PDF文件/KX_DN_DDS函数信号发生器.ppt/

2.2 标准时钟信号源

标准时钟信号在实验平台的左下侧,含0.5Hz至20MHz多个标准频率,可通过插线将这里的时钟信号引到需要的实验模块中。对于诸如频率计设计,特定的功能模块设计都会需要这些标准频率信号。

2.3 标准电压源和熔丝座

标准电压源有4个,即2.5V、3.3V、5V、+/-12V。除了以上模块插座上安排了某些电源外,还在实验平台的下方设置了这四个电压源的插口,以便在必要时用插线引出。在这四个电源中,2.5V、3.3V、5V来自开关电源,此电源含短路保护,而+/-12V来自单独的电源,其保护熔丝(两个)设于实验平台的左下侧。

2.4 高低电平输出控制模块

在实验平台的右下端有8个上下拨动开关,用于为实验提供高低电平。开关向上拨时,输出高电平;向下拨时则输出低电平。输出电平从右侧的端口J7十针口输出,此口标注的端口标号(如L1)对应开关处所标相同的标号。

2.5 多功能逻辑笔

在实验平台的左下端设有多功能逻辑笔,用于测试实验系统上的电平情况。此笔的信号输入口是J4的任何一端口。可测试高电平、低电平、高阻态、中电平(1.5V< x <3.1V,这是一个不稳定电平)、脉冲信号。

2.6 发光管显示模块和其他

在实验平台的下端设有8个发光管显示接口,用于显示来自实验模块的信号。

2.7 可重构型DDS全数字函数信号发生器

KX_DN系统配套的全数字型DDS函数信号发生器模块(图2-2)含FPGA、单片机、超高速DAC、高速运放等。既可用作全数字型DDS函数信号发生器,同时也可作为EDA/DSP系统及专业级DDS函数信号发生器设计开发平台。作为DDS函数发生器的功能主要包括:等精度频率计,全程扫频信号源(扫速、步进频宽、扫描方式等可数控),移相信号发生,里萨如图信号发生,方波/三角波/锯齿波和任意波形发生器,以及AM、PM、FM、FSK、ASK、FPK等各类调制信号发生器。

KX-DN系统上配有一个功能强大的DDS函数信号发生器。此信号发生器的主模块(图2-2)插于平台的左上方。它必须结合插座A3上插的20字X4行字符型液晶和插座A6上插的4X4键盘,联合使用,这是实验的辅助测试和信号系统。由于此系统设计的操作较多,功能也较丰富,所以必须参考ppt/PDF文件,以便更详细地了解使用方法。对应文件是:/KX_DN7/重要ppt_PDF文件/KX_DN_DDS函数信号发生器.ppt/ KX-DN系列的可重构DDS函数信号发生器使用了有别于传统模拟信号发生器和普通DDS函数信号发生器的更新换代理念。尽管普通DDS函数信号发生器同样采用了数字频率直接合成技术,有许多模拟信号发生器无法比拟的优点:频率精度高、无量程限制、信号过度时间极短、波形精度高、不同方式和全程扫描特性好、调整功能强、全数字化控制、稳定可靠等等,但由于采用DDS专用器件,缺乏灵活性,功能受限于专用芯片的即定功能,不仅无法适应用户许多特定功能的要求,就是不少专用功能也无法实现,从而在不少应用场合使用户面对许多尴尬局面。这是因为任何一台功能强大的DDS信号发生器都不可能总是满足用户,特别是通信系统或一些电子系统设计领域的用户的需求,如一些特定编码方式或调制方式的信号发生功能,甚至解调功能等。

可重构DDS函数信号发生器基于EDA/SOPC设计技术及数控制振荡器NCO/DDS、AM纯数字发生器(注意,目前绝大多数DDS信号发生器的AM信号是靠模数结合,如使用模拟乘法器等方式生成的,因此在数字通信中没有实用价值)、数字锁相环等IP核,是EDA/SOPC技术高度发展的产物,它彻底解决了普通DDS信号发生器的传统缺陷,而且整体功能和性能都有了质的飞跃。

1、作为普通函数信号发生器,从技术的先进性、功能的完备性、使用的便利性及性能指标的优越方面看,此系统无论作为普通信号发生器,还是高档函数信号发生器,都可谓当之无愧。

2、作为应用电路模块的开发系统。由于该系统是基于EDA技术和大规模高速FPGA,具有良好的重构功能,以及端口完善的驱动与保护特性,开发者大量的硬件模型和实用系统(特别是通信领域中的各类功能模块)

可以借助该系统,以及QuartusII、硬件描述语言等迅速开发出来。

3、作为大学生电子设计竞赛的实验系统和开发系统。由于该系统中的许多功能都曾出现在历届大学生电子设计竞赛的赛题中,且该系统的性能指标都超越了相关赛题中发挥部分要求的技术指标,而实现方法又十分类似(技术类型和软硬件方面),所以无论作为培训工具还是实战开发系统,都可以帮助竞赛者高效对付许多类型的赛题。因此,该系统同样可作为毕业设计、学位论文、课余科技活动高效有力的开发工具。

4、作为自主创新型实验开发系统。创新就是原创,就是独创,在电子领域就是设计出全新而又性能优良适用面宽阔的系统或功能模块。创新是需要适当平台(可行性环境)的,几个74系列器件构成的平台,显然不如单片机系统,而单片机系统又不及嵌入式系统,但嵌入式系统在自主设计方面又不及SOPC/EDA技术。因为嵌入式系统中几乎所有硬件模块,从CPU到各种接口功能模块都是现成的,开发者主要工作是在软件方面,虽说可以在此平台上有许多创新之作,但最重要的自主知识产权却无法拥有,因为硬件的产权是属于别人的。显然,创新不等于自主,只有创新而没有自主,则很可能失去创新的价值和意义,从本质上看,便不能属于真正的创新。而EDA/SOPC技术则解决了硬件设计、软件设计和综合设计的根本问题,从而也解决了创新和自主这一对矛盾,不言而喻,基于EDA技术的平台将为设计者提供了最大可能的自主创新的平台。

DDS函数信号发生器主要模块和电路结构在实验系统的左上侧,除了左侧的DDS主模块、液晶显示屏和4X4键盘外,在左上侧还有许多功能模块和信号通道:

(1)A通道。这里DDS函数信号发生器模拟信号输出通道的A通道(此信号发生器可以输出双通道模拟信号),如正弦波信号等,幅度最大+/-10V,可通过电位器调谐。

(2)TTL信号输出。此是DDS函数信号发生器的TTL信号输出口。

(3)B通道。这里DDS函数信号发生器模拟信号输出通道的B通道之信号口。如果需要得到B通道的模拟信号输出,必须将此B通道口线与某一DAC的输入接口,然后得到输出信号。

(4)信号测试输入口。即“TTL输入”口。可以通过DDS函数信号发生器测试此口输入信号的频率、脉宽、占空比等。数字调制信号和扫频信号外部控制时钟也可通过此口进入。

(5)调谐电位器。有两个电位器,一个用于调谐输出模拟信号的幅度,另一个调谐信号的偏移电平。

(6)蜂鸣器。输入口在旁边。

第三章 KX_DN系统主要实验功能模块

本章主要介绍KX_DN系统主要配套实验功能模块。这些模块可以是系统的配套模块,也可以是定购模块,或是根据此系统的接插口,以及开发项目的需要,自己设计出的模块。因此在KX_DN系统上用于完成不同类型的实验和设计的模块数量和种类没有任何限制。这里仅将一些主要和核心的功能模块的结构特点和使用注意作一些介绍。至于对于这些模块更加详细的了解和熟练的应用必须通过实际使用后才能实现。

好在本讲义推荐的几乎所有实验与设计都配有示例源文件和对应的实验指导ppt课件,在这些课件的照片上有所有相关实验模块的详细使用指导,通过这些实验指导课件就能完全掌握实验模块的使用方法。

此外,还应该注意,这些模块的一个共同特点,即他们可以插于KX_DN系统上组合成设计系统进行实验,也可脱离实验平台构成独立的模块和模块组合进行更加实际的系统,这是KX_DN系统的主要特点。

各模块的具体情况可以参见相关实验指导ppt文件以及相关说明。

3.1 大规模FPGA模块

FPGA模块(图3-1)是KX_DN系统配套的核心模块之一,其结构特点和用法注意如下:(1)结构配置。Cyclone III型FPGA,EP3C5E144C8(此板也可焊接更大规模的EP3C10E144C8),含:约50万门(相当于50万个与非门的逻辑资源)、5136个逻辑宏单元LCs(含5136个D触发器);43万可编程嵌入式RAM bit;2个锁相环(超宽超高锁相环输出频率:1300MHz至2kHz)。FPGA配置Flash存储器EPCS4。可以将8051单片机核载入其中,然后利用内部大量的逻辑资源构成SOC片上系统。此FPGA规模巨大,其内部可装入数个8051单片机核,或一个8088 IBM计算机系统,或一个32位Nios2嵌入式处理器核及其整个应用系统(包括RAM/ROM等),从而构成一个功能完备的单芯片式嵌入式系统。

(2)另含一片CPLD EPM3032A-44PinTQFP和一片FPGA专用配置Flash存储器,4M,可通过JTAG口用USB-Blaster烧写。

(3)含FPGA所需的电压源,包括1.2V、2.5V、3.3V,都安排在板上。仅5V电源需要从外部得到。如果将此板插于KX_DN系统平台上,可从上得到5V电源;如果将此板作为一个独立系统,则只需提供5V电源即可,而在此板的任何一个10芯口都有电源输入端。

(4)时钟。如果选择板上的跳线,可以选择来自不同地方的时钟进入P22:若选择“20MHz”则选择板上现成的20MHz有源时钟;若选择“10MHz”则选择主系统板上的时钟(不一定是10MHz)。

(5)锁相环时钟入口。此FPGA内有两个锁相环,第一个锁相环的入口是P22 ,如上所述,已安排20MHz时钟;第二个锁相环的时钟入口是P87或P88,在右侧。

(6)使用注意。平时不要用手指直接接触板上的任何端口,以免静电烧毁FPGA。

3.2 单片机模块

单片机模块(图3-2)是KX_DN系统配套的核心模块之一,其结构特点和用法注意如下:(1)结构配置。AT89S51或STC89C51,1602液晶屏和FPGA接口(包括时钟控制接口)。

(2)编程。如果是单片机AT89S51,则可通过ByterBlasterMV通过计算机并口对其编程,如果是STC89C51,则可通过USB-RS232,即USB转串口对单片机(P3.0和P3.1)编程,这种方式更方便,编程方法参考附录2。

(3)时钟。板上配置了12MHz晶振,可通过跳线来选择,还配有FPGA的接口,即可将FPGA中锁相环输出的时钟信号作为单片机的工作时钟,这样更加灵活,时钟可选择的范围也更大,适合于与FPGA接口扩展。

3.3 键盘及LED显示模块

3.3.1 4X4十六键键盘(图3-3)

此模块可作单片机实验键盘、FPGA控制的键盘(用法参考教材[1]),也可兼做KX_DN系统上的DDS函数信号发生器的控制键盘,因为此键盘上已标注每一键的功能。此键盘输出端口每一端口都含上拉电阻。

图3-1 FPGA实验模块3-2 单片机模块3-3 4X4键盘模块

图3-4 综合键盘模块3-5 二数码显示模块3-6 综合控制和显示模块

3.3.2 综合键盘模块(图3-4)

此模块上有两类键,一类是矩阵形式的4X4十六键键盘,形式同以上所述键盘,端口也含上拉电阻;另一类键是8个独立控制的键,适合于单片机作查询式控制。此键盘可在图3-3的键盘被占用后使用。

3.3.3 7数码管串行静态显示模块(图3-5上)

此模块有7片74LS164控制7个数码管,可作串行静态显示。输入口有两个,即CLK和DATA。

3.3.4 4数码管动态扫描显示和1数码管7段显示控制模块(图3-5下)

此模块上有两类数码显示电路:4数码管动态扫描显示电路,1数码七段码显示电路。

3.3.5 综合控制和显示模块(图3-6)

此模块由一单片机控制和相关驱动电路。其功能和用法如下:

(1)6位HEX16进制码显示。(2)两位16进制码输出,下方的两个键分别控制高/低4位数输出,而上方的8个发光管则显示输出的数据。(3)无抖动单脉冲输出。在数字系统设计中,手动按键式无抖动脉冲的发生经常会被用到。如CPU的单步运行、计数器对单脉冲的记录等。

3.4 A/D和D/A转换模块

KX_DN系统配套的A/D与D/A模块非常丰富。能适应电子设计竞赛中不同类型的需要,注意这些模块的更详细的使用方法应该参考本讲义提供的示例文件及对应的ppt实验指导课件,及该器件对应的PDF文件。

示例源文件、器件参考文件和实验指导课件路径是:/KX_DN7/MCU_TECH/ADC_DAC;和/KX_DN7/MCU_TECH/ADC_DAC/PPT_FILE;和/KX_DN7/MCU_TECH/ADDA_PDF。

3.4.1 超高速并行接口A/D和D/A模块(图3-3)

双通道高速并行DAC/ADC模块。最高180MHz转换时钟率双路超高速10位DAC(DAC900)、50MHz单通道超高速8位ADC(5540)、300MHz高速单运放2个。由于速度很高,通常只适用于FPGA来接口控制,不适合单片机接口。示例路径如:\KX_DN7\MCU_51Core_SOC\EXP11_51Core_DDS_Function

3.4.2 高速12位SPI串行ADC TLV2541(图3-8左)

图3-8是高速12位SPI串行ADC TLV2541模块,200ksps,SPI接口;体积小功耗低,无需高压电源。FPGA 和单片机都能将其作为接口扩展器件。详细用法参考示例程序的对应的ppt实验指导文件。

3.4.3 高速12位串行ADC ADS7816(图3-8右)

图3-8是高速12位同步串行ADC ADS7816模块,200ksps;体积小功耗低,无需高压电源。FPGA和单片机都能将其作为接口扩展器件。详细用法参考示例程序的对应的ppt实验指导文件。

图3-7 双通道超高速并行DAC+ADC模块图3-8 高速12位SPI串行双ADC 图3-9 SPI串行接口高速ADC+DAC模块

图3-10 双通道DAC+ADC标准模块图3-11 16位高分辨率ADC等模块图3-12 点阵式128X64液晶显示模块

3.4.4 高速10位SPI串行ADC TLV1572(图3-9左)

高速串行ADC TLV1572 10位QSPI/SPI/DSP串行接口,1.25MSPS,自动功率控制;FPGA和单片机都能将其作为接口扩展器件。详细用法参考示例程序的对应的ppt实验指导文件。

3.4.5 双通道 10位QSPI/SPI/DSP串行接口高速DAC TLV5637(图3-9右)

DAC TLV5637,双通道10位QSPI/SPI/DSP串行接口高速DAC,片内可编程参考电压,可编程转换速率控制。此模块更适用于基于FPGA的DSP模块设计开发。详细用法参考示例程序的对应的ppt实验指导文件。

3.4.6 双通道DAC和ADC标准模块(图3-10)

双通道DAC/ADC模块。是基于0832和0809的模块。详细用法参考示例程序的对应的ppt实验指导文件。

3.4.7 16位高分辨率ADC ADS1100 16模块(图3-11右)

高分辨率ADC模块。含ADS1100 16位高分辨率ADC,低功耗、自动校正功能,I2C串行接口。详细用法参考示例程序的对应的ppt实验指导文件。

3.4.8 8位ADC ADC0832模块(图3-11左)

ADC0832 二通道八位ADC ,SDE标准串行通信接口。详细用法参考示例程序的对应的ppt实验指导文件。

图3-13 4行X20字字符型液晶显示模块图3-14 800X480数字TFT彩屏,含接口板图3-15 ByterBlasterMV下载模块

3.5 液晶显示模块

3.5.1 点阵式128X64液晶显示模块(图3-12)

作为实验模块,此液晶可用单片机驱动,也可用FPGA驱动。本讲义给出的一些实验示例使用了此显示屏。

3.5.2 4行X20字字符型液晶显示模块(图3-13)

作为实验模块,此液晶可用单片机驱动,也可用FPGA驱动,同时兼做DDS函数信号发生器的显示屏。

3.5.3 800X480数字TFT彩屏(图3-14)

作为实验模块,此显示屏幕只能用FPGA驱动。彩色液晶显示屏上有5个跳线选择:

1、控制模式MODE跳线选择:选择“H”,即选择普通LCD扫描控制方法,“L”选择VGA方式扫描。

2、DCLK跳线选择:选择“HS”即选择VGA方式扫描控制;“DCLK”:选择普通LCD控制方式。

3、VS/DE跳线选择:选择“VS”即选择VGA方式扫描控制;“DE”:选择普通LCD控制方式。

4、L/R跳线选择:选择“H”即选择从右至左方式扫描;选择“L”,即选择从左至右方式扫描。

5、U/D跳线选择:选择“H”即选择从上至下式扫描;选择“L”,即选择从下至上方式扫描。

3.5.4 2行X16字字符型液晶显示模块

此液晶显示模块通常作为单片机模块的配置器件。

图3-16 逻辑笔和串行ROM实验模块图3-17 SRAM/EPROM存储器模块图3-18 RS485/CAN总线/继电器模块

3.6 其它基本模块

3.6.1 无线编码通信和数字温度模块

包含基于PT2272和PT2262的数字编码无线通信收/发模块,和基于DS18B20的数字温度模块。

3.6.2 电机模块

电机模块包括步进电机和直流电机扩展模块。示例如:\KX_DN7\MCU_51Core_SOC\EXP9_51Core_DC_MOTO

3.6.3 USB通信实验模块

是基于FT245BM的USB通信实验开发模块。示例如:\KX_DN7\EDA_EP3C5E\EXPERIMENTs\EXP38_USB_FT245

3.6.4 VGA/RS23接口模块

即SD卡、PS2键盘/鼠标、VGA、RS232接口模块。详细用法可参考相关示例。

3.6.5 CAN/RS485总线模块

此模块含继电器模块、CAN总线模块、RS485串口模块。

3.6.6 单片机扩展存储器模块

含SRAM/EPROM扩展模块。

3.6.7 双串行存储器/逻辑笔设计模块

含93C46和24C01串行存储器,以及智能逻辑笔设计实验用的逻辑笔实验模块。详细用法可参考相关示例。示例如: \KX_DN7\EDA_EP3C5E\EXPERIMENTs\EXP14_LOGIC_PEN 和\KX_DN7\EDA_EP3C5E\EXPERIMENTs\SOPC_Nios2 3.6.8 看门狗定时器/时钟日历模块

看门狗定时器芯片是X5040(含上电复位控制、看门狗定时器、降压管理和块保护功能串行EEPROM四模块;时钟日历芯片是DS1302,实时年月周日时分秒计时功能,串口数据通信,掉电保护模块等。此二模块都可由基于FPGA的状态机控制。示例: \KX_DN7\MCU_TECH\DS1302和\KX_DN7\MCU_TECH\X5045

3.6.9 红外发射与接收模块

红外线波长为940nm,载波频率为37.9kHz。可与单片机或FPGA接口,进行双向通信,含示例等文件。示例文件: \KX_DN7\MCU_TECH\ULRED

3.6.10 FPGA配置电路设计模块

基于CPLD的FPGA配置控制模块,用于开发利用FPGA的重构配置功能。另含FPGA配置设计目标模块。二模块结合可用于学习开发基于CPLD/EPROM的FPGA配置系统开发,或FPGA多任务重构应用系统。

示例文件:\KX_DN7\EDA_EP3C5E\DEMOs\EXPL17_FPGA_PS_CONFIG\FPGA_EP1K30_PS_MODE

3.6.11 以太网模块

含基于DM9000A的以太网接口模块

3.6.12 GPS实验开发模块

含ProGin SR87 GPS模块,最高9600波特率的GPS模块的串行接口GPS实验开发模块。

示例文件:F:\KX_DN7\EDA_EP3C5E\EXPERIMENTs\EXP24_8088_GPS_SONGs_3C10E

3.6.13 Cyclone III EP3C40Q240 FPGA模块

Cyclone III EP3C40Q240目标板。FPGA主模块:约300万门、4锁相环,120万RAM bit,4万LCs,16M Flash EPCS16,超宽超高锁相环输出频率1300MHz至2kHz,22对LVDS差分通道,252个9X9bit数字乘法器等。

图3-19 ProGin SR87 9600 GPS实验开发模块图3-20 看门狗定时器+时钟日历模块图3-21 USB-Blaster下载器

图3-22 SD卡+PS2+VGA+RS232接口模块图3-23 USB通信实验开发模块图3-24 FPGA配置模块

图3-22 无线编码通信+数字温度测控模块图3-23 步进电机+直流电机实验模块图3-24 以太网接口模块

图3-25 FPGA配置开发模块图3-26 CycloneII EP2C35 SOPC开发模块图3-27 CycloneIII EP3C40 SOPC开发模块第四章KX_DN系统部分配套实验

本章主要介绍KX_DN系统的经典实验与设计示例项目,其中绝大多数实验都有配备的源程序和对应的实验指导课件ppt文件(针对KX_DN系统)。

需要说明的是,这里只列出了部分实验,未列出的实验系列包括,(1)数字电子技术实验(参考教材[3]); (2)基于DSP-Buildr/MATLAB的实验(参考教材[5]);(3)现代计算机组成原理实验(参考教材[4])。对于这些相关的实验和设计项目必须参考指定教材。

4.1 针对HDL设计的EDA基本实验与设计

实验4-1.计数器设计

(1) 实验目的:熟悉Quartus II的Verilog/VHDL文本设计流程全过程,学习计数器的设计、仿真和硬件测试。掌握原理图与文本混合设计方法。

(2) 实验原理:参考教材[1]3.3节。实验程序为例

3-22,设计流程参考本章。

(3) 实验内容1:根据教材[1]的4.1节在Quartus II

上对例3-22进行编辑、编译、综合、适配、仿真。说

明例中各语句的作用。给出其所有信号的时序仿真波

形,根据波形详细描述此设计的功能特点,包括RST、

EN、LOAD、DATA,CLK等信号等异步和同步特性。

查阅编译后的计数器的时序特点,从时序仿真图和编

图4-1原理图示意图

译报告中了解计数时钟输入至计数数据输出的延时情况,包括设定不同优化约束后的改善情况以及当选择不同FPGA后的延时情

况,给出分析报告。

(4) 实验内容2:用教材[1]第4章介绍的不同方式锁定引脚并硬件下载测试。引脚锁定后进行编译、下载和硬件测试实验。将实验过程和实验结果写进实验报告。硬件实验中,注意测试所有控制信号和显示信号,包括RST、EN、LOAD、DATA等的同步、异步特性,进位信号等。时钟CLK换不同输入:手动有抖动或无抖动键输入,1Hz或4Hz时钟脉冲输入,这需要附录1.3的模块B4板才能获得,或直接使用KX_DN5/7系列EDA/SOPC实验系统。

(5) 实验内容3:使用SignalTap II对此计数器进行实时测试,流程与要求参考教材[1]第4章,给出报告。

(6) 实验内容4:从设计中去除SignalTap II,要求全程编译后,将生成的SOF文件转变成用于配置器件EPCS4的压缩的间接配置文件 *. jic,并使用USB-Blaster对实验板上的EPCS4进行编程,最后进行验证。编程和全程编译前,按教材[1]图4-6所示,设定所有控制和参数。

(7) 实验内容5:为此项设计加入一个可用于SignalTap II采样的独立的时钟输入端CLK0。计数时钟可以低一点,而采样时钟可高一些,如选择clock0=2MHz,而计数时钟CLK可分别选择256Hz、16384Hz、6MHz,并进行实时测试。

(8) 实验内容6:建立一个原理图工程,将教材[1]例3-22文件变成图4-1所示的CNT10元件。然后按照此图的连接方式完成设计。对此电路进行仿真,并说明此电路的功能特点。如何利用此电路设计一个不同模的计数器,或可预置的分频器? 最后在开发板上硬件实现,验证分频情况。

(9) 实验内容7:利用Synplify综合教材[1]例3-22,并用QuartusII适配和下载验证。利用Chip Planner分别对此计数器的RST 和所有输出位取反,然后下载验证。

(10) 实验报告:将实验原理、设计过程、编译仿真波形和分析结果、硬件测试结果写进实验报告。

示例路径:\KX_DN7\EDA_EP3C5E\EXPERIMENTs\EXP3_CNT10B(注意参考对应的ppt实验指导课件,以下大部分实验示例都包含对应的ppt实验指导课件)。PPT文件路径:\KX_DN7\EDA_EP3C5E\EXPxx_PPT_3C5E,此路径也对应以下KX_DN5/7系列创新实验开发系统的实验示例和对应的ppt实验指导课件。

另请注意,对多数实验都给出了经硬件验证调试好的演示示例,目的就是为读者能顺利完成实验验证或设计,有的示例的目的是希望能启发或引导读者完成更有创意的设计,其中一些示例尽管看上去颇有创意,但都不能说是最佳或最终结果,这给读者留有许多改进和发挥的余地。此外还有少数示例未提供源代码,是考虑到实验示例设计者的著作权,这些示例仍能给读者在设计的可行性、创意和创新方面以宝贵的启示。示例分两部分:

对应KX_DN5/7系统,在文件夹\KX_DN7\EDA_EP3C5E\EXPERIMENTs中的示例包含完整的源代码,并公开全部设计;而在文件夹\KX_DN7\EDA_EP3C5E\DEMOs中的设计,仅供演示,无源代码。所有的示例演示操作都有详细说明,可分别参考对应文件夹中的PDF/PPT文件。

实验4-2.多路选择器设计

(1) 实验目的:进一步熟悉Quartus II的Verilog/VHDL文本设计流程,组合电路的设计仿真和硬件测试。

(2) 实验内容1:根据教材[1]4.1节的流程,利用Quartus II完成4选1多路选择器(例3-2)的文本编辑输入(MUX41a.v)和仿真测试等步骤,给出教材[1]图3-5所示的仿真波形。

(3) 实验内容2:在实验系统上硬件测试,验证此设计的功能。对于引脚锁定以及硬件下载测试,a、b、c和d分别接来自不同的时钟或键;输出信号接蜂鸣器(5E+板的引脚标于板上)。最后进行编译、下载和硬件测试实验(通过选择键1、键2,控制s0、s1,可使蜂鸣器输出不同音调)。

(4) 实验内容3:对Verilog/VHDL不同描述方式的4选1多路选择器进行硬件实验,比较它们的特性。

(5) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。参考ppt实验指导课件。示例文件路径:/EDA_EP3C5E/EXPERIMENTs/EXP0_MUX41/MUX41B。分别按下或放开键K1,K2,蜂鸣器将发出4种不同声音。

实验4-3.8位全加器设计

(1) 实验目的:熟悉利用Quartus II的原理图输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个8位全加器的设计把握文本和原理图输入方式设计的详细流程。

(2) 实验原理:一个8位全加器可以由8个1位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的最低进位输入信号cin相接。

(3) 实验内容1:按照教材[1]4.5.1节完成半加器和1位全加器的设计,包括用文本或原理图输入,编译、综合、适配、仿真、实验板上的硬件测试,并将此全加器电路设置成一个元件符号入库。

(4) 实验内容2:参考教材[1]4.6节,使用keep属性,在仿真波形中了解信号e的输出情况。

(5) 实验内容3:参考教材[1]4.6,4.7节,使用keep 属性和SignalProbe ,在实验板上观察信号e 随输入的变化情况。

(6) 实验内容4:建立一个更高层次的原理图或文本设计,利用以上获得的1位全加器构成8位全加器,并完成编译、综合、适配、仿真和硬件测试。

实验4-4.原理图输入法设计频率计

(1) 实验目的:熟悉原理图输入法中74系列等宏功能元件的使用方法,掌握更复杂的原理图层次化设计技术和数字系统设计方法。完成8位十进制频率计的设计。

(2) 原理说明:利用教材[1] 4.5节介绍的2位计数器模块,连接它们的计数进位,用四个计数模块就能完成一个8位有时钟使能的计数器;对于测频控制器的控制信号,在仿真过程中应该注意它们可能的毛刺现象。最后按照设计流程和方法即可完成全部设计。

(3) 实验内容1:首先根据教材[1] 4.5节完成2位频率计的设计,包括各模块和顶层系统

的仿真测试,然后进行硬件测试。

(4) 实验内容2:设计一个全新的电路,能取代教材[1] 图4-53电路的功能,仿真并硬件

测试。

(5) 实验内容3: 然后建立一个新的原理图设计层次,在此基础上将其扩展为8位频率

计,仿真测试该频率计待测信号的最高频率,并与硬件实测的结果进行比较。

(6) 实验报告: 给出各层次的原理图、工作原理、仿真波形,详述硬件实现过程验结果。

KX_DN5/7实验系统演示示例: \KX_DN7\EDA_EP3C5E\EXPERIMENTs\EXP10_FTEST_6LED 实验4-5.十六进制7段数码显示译码器设计

(1) 实验目的:学习7段数码显示译码器的V erilog/VHDL 硬件设计。

(2) 实验原理:7段数码是纯组合电路。通常的小规模专用IC ,如74或4000系列的器

件只能作十进制BCD 码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出

表达都是十六进制的。为了满足十六进制数的译码显示,最方便的方法就是利用

Verilog/VHDL 译码程序在FPGA 中来实现。所以首先要设计一段程序。该程序可按照教材[1]例3-2的case 语句表述方法,再根据表4-1的真值表写出程序。设输入的4位码为A[3:0],输出控制7段共阴数码管(图4-3)的7位数据为LED7S[6:0]。输出信号LED7S 的7位分别接图4-3的共阴数码管的7个段,高位在左,低位在右。例如当LED7S 输出为“1101101”时,数码管的7个段g 、f 、e 、d 、c 、b 、a 分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示“5”。这里没有考虑表示小数点的发光管,如果要考虑,需要增加段h ,然后将LED7S 改为8位输出。

(3) 实验内容1:将设计好的Verilog 译码器程序在Quartus II 上进行编辑、编译、综合、适配、仿真,给出其所有信号的时序仿真波形。提示:设仿真激励信号时用输入总线的方式给出输入信号仿真数据,仿真波形图如图4-2所示。

图4-2 7段译码器仿真波形

图4-3 共阴数码管 图4-4 计数器和译码器连接电路的顶层文件原理图

(4)实验内容2:引脚锁定及硬件测试。 (5) 实验内容3: 用教材[1]第3章介绍的例化语句,按图4-4的方式连接成顶层设计电路(用Verilog/VHDL 表述), 图中的CNT4B 是一个4位二进制加法计数器。模块DECL7S 即为以上的7段译码设计文件。重复以上实验过程。 注意图4-4中的tmp 是4位总线,led 是7位总线。KX_DN5/7实验系统演示示例(含实验指导pdf 课件):\KX_DN7\EDA_EP3C5E\EXPERIMENTs\EXP2_7S_DECODER 即可。

(6) 实验内容4:用SignalProbe 将图4-4的信号tmp 引出,并于数码管上显示出来。

实验4-6 数码扫描显示电路设计

表4-1 7段译码器真值表

(1)实验目的:学习硬件扫描显示电路的设计。

(2)实验原理:图4-5所示的是8位数码扫描显示电路,其中每个数码管的8个段h、g、f、e、d、c、b、a(h是小数点)都分别连在一起,8个数码管分别由8个选通信号k1~k8来选择。被选通的数码管显示数据,其余关闭。如在某一时刻,k3为高电平,其余选通信号为低电平,这时仅k3对应的数码管显示来自段信号端的数据,而其他7个数码管呈现关闭状态。根据这种电路状况,如果希望在8个数码管显示希望的数据,就必须使得8个选通信号k1~k8分别被单独选通,同时在段信号输入口加上希望该对应数码管上显示的数据,于是随着选通信号的扫变,就能实现扫描显示的目的。

图4-5 8位数码扫描显示电路

(3)实验内容:给出Verilog/VHDL设计程序。对其进行编辑、编译、综合、适配、仿真,给出仿真波形。进行硬件测试。将实验过程和实验结果写进实验报告。KX_DN5/7实验系统演示示例(含实验指导pdf/PDF课件):

\KX_DN7\EDA_EP3C5E\EXPERIMENTs\EXP29_SCAN_LED。

实验4-7半整数与奇数分频器设计

(1)实验目的:学习利用Verilog/VHDL完成实用程序的设计。

(2)实验内容1:实验原理参考教材[1]5.8节。结合教材[1]图5-34的时序和其他节点的时序波形(如果必要),详细分析与说明图5-33电路的工作原理。再给出此电路的Verilog程序。然后进行编译和仿真。改变模块M3的计数模数,使此电路成为一个输出为50%占空比的7分频器。最后进行FPGA硬件测试,其中包括完成3、5、7、9计数分频比测试和对应的占空比测试;以及对图5-34的信号C的占空比验证测试。

(3)实验内容2:结合教材[1]图5-35的时序波形,详细分析与说明程序例5-37描述的电路的工作原理,比较电路图5-33,说出它们工作原理上的异同点。设计7分频电路,对例5-36重复实验内容1的工作。

(4)实验内容3:结合图5-37的时序波形,详细分析与说明图5-36电路的工作原理。再给出此电路的V erilog/VHDL程序,然后进行编译和仿真。按实验内容1的要求完成所有设计和测试。

(5)实验内容4:给出图5-33电路的分频比与输出脉冲占空比之间的关系式。另外,用V erilog/VHDL设计一个电路,使之输出频率恒定,但占空比可随预置数控制,并用KX_DN5/7实验系统实测验证之。

实验4-8模可控计数器设计

(1)实验内容1:分析并说明教材[1]例5-33至例5-36的设计思想。将它们都改成8位计数器形式,然后按照实验4-7的实验内容1的要求完成全部仿真测试和硬件测试内容。给出此四例对应的输入输出分频比一般公式,在较高输入频率上分别验证它们的分频公式。评估其工作可靠性。

(2)实验内容2:选择较低速度的目标器件,如Flex10K、Acex1K、Cyclone等系列,甚至74系列宏模块,验证此类设计中毛刺造成提前清0或提前预置的情况,并详细讨论解决这些问题的方法。

图4-6 针对不同预置数,占空比均衡后的分频器输出

(3)实验内容3:由于此4例设计输出的占空比太小,没有功率驱动能力,如驱动蜂鸣器等。所以为以上程序加入一个二分频电路使之有50%的占空比。然后再测试它们的分频情况和占空比改变情况。图4-6就是针对不同预置数,占空比均衡(50%占空比)后的分频器输出信号波形。这个电路在后文有关硬件音乐演奏电路设计实验中将会用到。

(4)实验内容4:给出教材[1]例5-33电路预置数与输出脉冲占空比之间的关系式,用实验系统实测验证。

(5)实验内容5:讨论教材[1]图5-31波形图中几个信号的时延关系和产生的原因。

KX_DN5/7实验系统演示示例(含实验指导pdf/PDF课件):/EDA_EP3C5E/EXPERIMENTs/EXP30_FDIV。

实验4-9 VGA彩条信号显示控制电路设计

(1)实验目的:学习VGA图像显示控制电路设计。

(2)实验原理:实验原理和实验程序参考教材[1]的实验5-3。

(3) 实验内容1:根据VGA的工作时序,详细分析并说明例5-39程序的设计原理,给出仿真波形,并说明之。然后完成VGA 彩条信号显示的硬件验证实验。KX_DN5/7实验系统演示示例(含实验指导pdf/PDF课件):

/EDA_EP3C5E/EXPERIMENTs/EXP11_VGA_COLOR_SQUR/,和/EXP11_VGA_COLOR_LINE/。

下载后,接上VGA显示器,连续按键K1即显示不同模式的彩条图像。

(4) 实验内容2:设计可显示横彩条与棋盘格相间的VGA彩条信号发生器。

(5) 实验内容3:设计可显示英语字母的VGA信号发生器电路。

(6) 实验内容4:设计可显示移动彩色斑点的VGA信号发生器电路。

实验4-10 移位相加型8位硬件乘法器设计

(1)实验原理:实验原理参考教材[1]的实验5-4

(2)实验任务1:详细分析并说明教材[1]例5-18的组合电路乘法器程序设计原理,仿真测试,试图显示每一次移位相加的结果,回答产生的问题(为什么?)。基于此原理,结合教材[1]图5-42电路,设计一个时序方式工作的8位乘法器。要求在时钟驱动下,此乘法器每一时钟脉冲作一次移位相加,8个时钟信号后完成乘法运算。为了节省资源,此电路的加法器尽可能用少的位数。

(3)实验任务2:根据图1-7,实现此项设计必须的4个元件的Verilog/VHDL设计实现,并对它们分别仿真测试。再根据图4-7完成整体Verilog/VHDL程序设计(包括元件例化),再仿真测试,与图4-8比较。硬件验证中,CLK用键控制,此不能有抖动。

(4)实验任务3:设计一个时序控制器来控制图4-7中的CLK。每一次计算能自动产生8个脉冲,控制计算。另外,与教材[1]5.3.4节介绍的使用纯组合电路完成的移位相加乘法器的性能进行比较。包括逻辑宏单元的使用情况,工作速度,稳定性等方面进行比较。KX_DN5/7实验系统演示示例(含实验指导pdf/PDF课件):/EDA_EP3C5E/EXPERIMENTs/EXP32_MULTI8X8/MLTL8X8。

图4-7 8位乘法器逻辑原理图

图4-8 8位移位相加乘法器运算逻辑波形图

实验4-11 移位寄存器设计

用Verilog/VHDL分别设计并进串出/并出型、串进串出/并出型8位移位寄存器。给出仿真波形和功能说明,然后硬件测试。KX_DN5/7实验系统演示示例(含实验指导pdf/PDF课件):/EDA_EP3C5E/EXPERIMENTs/EXP39_SHIFTER/ 。

实验4-12 串行静态显示控制电路设计

(1)实验原理:通过扫描方式实现多数码管显示电路的缺点是,占用控制端口较多。如果使用串并转换器件74LS164,则仅用两根控制口线就能实现多个数码显示的目的。对于此类显示电路,FPGA一旦将数据串出进入74LS164,驱动LED显示后,就无需给出其它信号,如扫描信号。故称静态显示控制。图4-9是两个74LS164构成的静态串行显示电路,此电路可以十分容易地扩展到多个数码管。图4-9中,第9脚清0接高电平,第8脚的CP进入同步时钟,第1、2脚连在一起,用移位方式输入各数据位。8个时钟后,一个8位字节的数据被移入74LS164,并锁存于输出口。由于此口有一定的驱动能力,可以在每一片74LS164的输出口直接接上7段共阴数码管。注意,如果要在数码管上显示一个数据,如5,则必须首先在FPGA内部通过七段译码器电路(真值表是表4-1)译码成8位数值(包括小数点)后才能串出显示。此外还应注意,如果串接的74LS164较多,CP的同步时钟频率要适当,频率太高则会使显示不清晰,太低则会有闪烁感。

电力电子技术A实验讲义

实验四三相半波可控整流电路的研究一.实验目的 了解三相半波可控整流电路的工作原理,研究可控整流电路在电阻负载和电阻—电感性负载时的工作情况。 二.实验线路与原理 三相半波可控整流电路用三只晶闸管,与单相电路比较,输出电压脉动小,输出功率大,三相负载平衡。不足之处是晶闸管电流即变压器的二次电流在一个周期内只有1/3时间有电流流过,变压器利用率低。 实验线路见图4-1。 1) 电源控制屏位于MEL-002T; 2) L平波电抗器位于NMCL-331挂件; 3) 可调电阻R位于NMEL-03/4挂件 4) G给定(Ug)位于NMCL-31调速系统控制单元中; 5) Uct位于NMCL-33F挂件; 6) 晶闸管位于NMCL-33F挂件。 图4-1 三.实验内容

1.研究三相半波可控整流电路供电给电阻性负载时的工作情况。 2.研究三相半波可控整流电路供电给电阻—电感性负载时的工作情况。 四.实验设备与仪表 1.教学实验台主控制屏 2.触发电路与晶闸主回路组件 3.电阻负载组件 4.示波器 五.注意事项 整流电路与三相电源连接时,一定要注意相序。 六.实验方法 1. 三相半波可控整流电路带电阻性负载。 合上主电源,接上电阻性负载R。 ⑴改变给定电压U g,观察在不同触发移相角α(30°、60°)时,可控整流电路的输出电压U d的波形,并记录相应的U d、I d 值。 ⑵改变给定电压U g,当α=30°时,记录晶闸管A、K间端电压U VT=f(t)的波形。 2. 三相半波可控整流电路带电阻—电感性负载。 接入的电抗器L=700mH。 ⑴改变给定电压U g,观察在不同触发移相角α(30°、60°)时,可控整流电路的输出电压U d的波形,并记录相应的U d、I d 值。 ⑵改变给定电压U g,当α=30°时,记录晶闸管的端电压U VT=f(t)(电阻性负载、电阻—电感性负载)、I d=f(t)(电阻—电感性负载)的波形。 实验方法的具体内容,可参照表4进行。 七. 实验报告

关于综合性设计性实验的说明

南京工程学院车辆工程系 关于综合性、设计性实验的说明 1、关于实验类型的说明: a. 演示性实验指为便于学生对客观事物的认识,以直观演示的形式,使学生了解其事物的形态结构和相互关系、变化过程及其规律的教学过程。 b. 验证性实验:以加深学生对所学知识的理解,掌握实验方法与技能为目的,验证课堂所讲某一原理、理论或结论,以学生为具体实验操作主体,通过现象衍变观察、数据记录、计算、分析直至得出被验证的原理、理论或结论的实验过程。 c. 综合性实验:是指实验内容涉及本课程的综合知识或与本课程相关课程知识的实验。 d. 设计性实验:是指给定实验目的、要求和实验条件,由教师给定实验目标,学生自行设计实验方案并加以实现的实验。 2、综合性、设计性实验的界定 综合性实验是指实验内容涉及本课程的综合知识或与本课程相关课程 知识的实验。是学生在具有一定知识和技能的基础上,运用某一门课程或多门课程的知识、技能和方法进行综合训练的一种复合型实验。根据定义,综合性实验内容应满足下列条件之一:①涉及本课程多个章节的知识点;②涉及多门课程的多个知识点;③多项实验内容的综合。 设计性实验是指给定实验目的、要求和实验条件,由学生自行设计实验方案并加以实现的实验。设计性实验一般是指导教师给出题目,由学生运用已掌握的基本知识、基本原理和实验技能,提出实验的具体方案、拟定实验

步骤、选定仪器设备、独立完成操作、编程、记录实验数据、绘制图表、分析实验结果等。 3、对综合性、综合性实验进行论证 论证专家组组长由院长或主管实验教学的副院长担任,成员不少于3人。应聘请该领域或与该领域相关的具有副高级以上职称的专家担任论证组成员。应有综合性、设计性实验教学大纲、综合性、设计性实验指导书;专家组根据实验目的、实施设想、所利用的知识以及实验条件要求等,进行实验属性判定和可行性论证。 对论证符合综合性或设计性实验要求的实验项目的教学过程要进行监 督和检查,对学生的实验报告、实验记录和结果等要进行抽查,确保实验内容符合综合性、设计性实验教学要求。对不符合综合性、设计性实验要求的实验项目,直接转为验证性实验。 4、综合性、设计性实验内容的确定及大纲编写 在确定综合性、设计性实验的实验内容时应充分考虑课程教学大纲的要求和课程特点。指导教师可选择一些灵活性比较大,完成思路比较多,学生有发挥余地的内容作为综合性、设计性实验的实验内容,且难度不宜太大,操作不宜太复杂。 在制订综合性、设计性实验大纲时除了一般实验大纲规定的内容外,应说明该实验为综合性或设计性实验的特性及要求。 综合性、设计性实验的实验学时一般在3-6学时,计划学时内不能完成的可在实验室的开放时间内完成。 5、综合性、设计性实验指导书编写

华南农业大学综合性、设计性实验报告

华南农业大学综合性、设计性实验报告 实验项目名称:数字电路与逻辑设计综合实验 实验项目性质:综合性、设计性实验 所属课程名称:数字电路与逻辑设计 开设时间: 2011学年第二学期 指导教师:万艳春 一、问题描述

实验题目要求它的投币口每次只能投入一枚五角或一元的硬币,投入一元五角硬币自动给出一杯饮料;投入两元(两枚一元的硬币)硬币后,再给出饮料的同时找回一枚五角硬币。故用x=0代表五角硬币,x=1代表一元硬币,y2,y1为1时分别表示给出一杯饮料和找回一枚五角硬币,至于投入的硬币数则用脉冲cp控制,投完硬币后用p=1表示确认。 二、逻辑设计 1.按照所需功能,画出状态图: 2.根据状态图画出真值表

3.画卡诺图 4.根据JK 特性方程Q n+1 =J Qn +k Qn 可得 122Q x k J == 211Q x K J == 三、 逻辑电路 1n 2n 1 n 2 n 1 1n Q Q x Q )x (Q Q ++=+ 112XQ Q Q Y2?= 2 Q X Y1= 2n 1n 2 n 1 n 2 1 +n Q Q x +Q x) +(Q =Q

四、效果与测试情况 清零后,x=0→CP→CP→CP→p=1,得到y2=1,y1=0; 清零后,x=0→CP→CP→x=1→CP→p=1,得到y2=1,y1=1; 清零后,x=0→CP→x=1→CP→p=1,得到y2=1,y1=0; 清零后,x=1→CP→x=0→CP→p=1,得到y2=1,y1=0; 清零后,x=1→CP→x=1→CP→p=1,得到y2=1,y1=1; 五、分析与讨论 本实验基本实现了所需功能,原理较简单,所用芯片也并不多; 不足的地方是每一次投币后都需确认,使过程稍显麻烦,若在 设计中能利用脉冲与输出相与,或许可以解决;而且当投币少 于1.5元时不会退回,功能较简单。 六、参考资料 [1]欧阳星明,于俊清. 数字逻辑(第四版),武汉:华中科技大学出版

综合实验讲义

综合实验讲义 编写:李雅丽王香爱郭佰凯 祝保林李吉锋 化学与材料学院 二零一六年六月

目录 综合实验一四氧化三铅组成的测定 综合实验二锌钡白的制备 综合实验三己二酸的绿色合成及表征 综合实验四乙酰二茂铁的合成及分离 综合实验五富平合儿柿饼中铁、锌含量的测定综合实验六煤中全硫的测定方法(工业分析)综合实验七表面活性剂特征参数的测定 综合实验八几种农作物秸秆热值的测定

综合实验一四氧化三铅组成的测定 一实验目的 1练习称量、加热、溶解、过滤等基本操作; 2练习碘量法操作、练习EDTA测定溶液中的金属离子; 3掌握一种测定Pb3O4的组成的方法。 二实验原理 Pb3O4为红色粉末状固体,俗称铅丹或红丹。该物质为混合价态氧化物,其化学式可以写成2PbO﹒PbO2,即式中氧化数为+2的Pb占2/3,而氧化数为+4的Pb占1/3。但根据其结构,Pb3O4应为铅酸盐Pb2PbO4。 Pb3O4与HNO3反应时,由于PbO2的生成,固体的颜色很快从红色变为棕黑色: Pb3O4+4HNO3=PbO2+2Pb(NO3)2+2H2O 很多金属离子均能与多齿配体EDTA以1:1的比例生成稳定的螯合物,以+2价金属离子M2+为例,其反应如下: M2++EDTA4-=MEDTA2- 因此,只要控制溶液的PH,选用适当的指示剂,就可以用EDTA标准溶液,对溶液中的特定金属子进行定量测定。本实验中Pb3O4经HNO3作用分解后生成的Pb2+,可用六亚甲基四胺控制溶液的pH为5~6,以二甲酚橙为指示剂,用EDTA标准液进行测定。 PbO2是种很强的氧化剂,在酸性溶液中,它能定量的氧化溶液中的I- PbO2+4I-+4HAc=PbI2+I2+2H2O+4Ac- 从而可用碘量法来测定所生成的PbO2. 三实验用品 仪器:分析天平、台秤、称量瓶、干燥器、量筒(10mL,100mL)、烧杯(50mL)、锥形瓶(250mL)、漏斗、酸式滴定管(50mL)、碱式滴定管(50mL)、洗瓶、滤纸、PH试纸 试剂:四氧化三铅(A.R.)、碘化钾(A.R.)、HNO3(6molL·L-1)、EDTA 标准溶液(0.02mol·L-1)Na2S2O3标准溶液(0.02mol·L-1)、NaAc-HAc(1:1)混合液、NH3·H2O(1:1)六亚甲基四胺(20%)、淀粉(2%), 四实验步骤 1 Pb3O4的分解 用差量法准确称取干燥的Pb3O4 0.5g,置于50ml的小烧杯中同时加入 2mL6mol·L-1HNO3溶液,用玻璃棒搅拌,使之充分反应,可以看到红色的Pb3O4

电路理论实验讲义

实验一电路元器件伏安特性的测试 一、实验目的 1、认识常用电路元件。 2、掌握线性电阻、非线性电阻元件伏安特性的测绘。 3、掌握仪器、仪表的使用方法。 二、实验仪器 1、RXDI-1A电路原理实验箱1台 2、万用表1台 三、实验原理 任何一个二端元件的特性可用该元件上的端电压U与通过该元件的电流I 之间的函数关系I=f(U)来表示,即用I-U平面上的一条曲线来表示,这条曲线称为该元件的伏安特性曲线。 图1 1、线性电阻器的伏安特性曲线是一条通过坐标原点的直线,图1中a曲线所示,该直线的斜率的倒数等于该电阻器的电阻值。 2、一般的半导体二极管是一个非线性电阻元件,其伏安特性如图1中b所示。正向压降很小(一般的锗管约为0.2~0.3V,硅管约为0.5~0.7V),正向电流随正向压降的升高而急骤上升,而反向电压从零一直增加到十几伏至几十伏时,其反向电流增加很小,粗略地可视为零。可见,二极管具有单向导电性,如果反向电压加得过高,超过管子的极限值,则会导致管子击穿损坏。 3、稳压二极管是一种特殊的半导体二极管,其正向特性与普通二极管类似,但

其反向特性特别,如图1中c所示。在反向电压开始增加时,其反向电流几乎为零,但当反向电压增加到某一数值时(称为管子的稳压值,有各种不同稳压值的稳压管)电流将突然增加,以后它的端电压将维持恒定,不再随外加的反向电压升高而增大。注意:流过二极管或稳压二极管的电流不能超过管子的极限值,否则管子会被烧坏。 四、实验内容及步骤 1、测定线性电阻器的伏安特性 按图2接线,调节直流稳压电源的输出电压U,从0V开始缓慢地增加,记下相应的电压表和电流表的读数。 图2 图3 2、测定半导体二极管IN4007的伏安特性 按图3接线,R为限流电阻,测二极管的正向特性时,其正向电流不得超过35mA,正向压降可在0~0.75V之间取值。特别0.5~0.75V之间应多取几个测量点。测反向特性实验时,只需将图3中的二极管D反接,且其反向电压可加至24V。 3、测定稳压二极管的伏安特性 将图3中的二极管IN4007换成稳压二极管2CW55,重复实验内容2的测量。 4、根据各实验数据(数据见表1、表2、表3、表4、表5),分别在方格纸上绘制出光滑的伏安特性曲线。(其中二极管和稳压管的正、反向特性均要求画在同一张图中,正、反向电压可取为不同的比例尺),根据实验结果,总结、归纳被测各元件的特性,做必要的误差分析。 五、实验数据及结果 表1线性电阻特性实验数据 U(V) I(mA)

综合性、设计性实验课程

2014年3月《物理综合性、设计性试验》课程开设计划本实验开设围绕物理实验的综合性和设计性开展实验。作为尝试性的课程开设,计划围绕以下几个方面进行: 一、物理实验中的各种要素 1.人的要素(实验目的、实验方法的设计、实验过程、实验结果分析); 2.仪器的要素(实验设计中仪器的选择、仪器的调整、仪器使用); 3.实验环境、方法的分析。 二、物理实验的辅助工具 1.常用的实验仪器分析; 2.常用的数据处理(系统误差、仪器误差、循环测量误差); 3.常用数据处理软件(计算、误差分析、图形处理软件); 4.各种仿真软件的应用。 三、综合性、设计性物理实验的宗旨 1.综合性——突出完成实验的一种综合性。不是简单的验证(按规定的实验要求、方法 和步骤,一步步向明确的实验目标靠近),往往要通过对几种方法和步骤来实现实验目标。 在综合性实验中,强调: 1)实现实验目标; 2)强调对于实验结果进行完整的实验测试、分析,已达到对实验过程和实验结果全面的认识。 2.设计性——根据实验目标(有意义的),通过创造地采用各种实验方法,进行各方面的测试设计,获得可靠的具有科学性的结果。 在设计性实验中强调: 1)实验设计(方法和过程)的科学性和创新性和完整可靠性(源于综合性实验)。创新性不一定是全部自己发明出来的理论和方法,可以是创新地应用在某些领域; 2)对于能够实现实验结果的不同实验设计和方法进行比较对比,从中选择出最佳的实验设计和方法; 3)获得科学的、具有创新的实验结果。 四、实验总结表达 对于实验目标当前的实验结果状况分析研究资料的收集与分析;对实验进行表达、分析

和总结,完成对实验结果、实验设计分析、实验获得成果的论文写作,科技论文的写作是对综合性、设计性实验开设要求的重要部分。

综合实验讲义[1]

从红辣椒中分离红色素 一、实验目的 1、学习用薄层层析和柱层析分离提取红色素。 2、掌握用薄层层析鉴定红色素、记录红色素的红外和紫外光谱。 二、实验原理 红辣椒中含有几种色素,因其极性不同,可用薄层层析和柱层析分离出来。 三、仪器与试剂 1、仪器 硅胶G薄层广口瓶层析柱 2、试剂 碾细的红辣椒1g,300ml二氯甲烷, 10g硅胶 四、实验步骤 1、在25ml圆底烧瓶中加入1g红辣椒和几粒沸石,加入10m二氯甲烷,装 上回流管回流20分钟,将烧瓶冷至室温,过滤除去固体,得粗色素溶液。 2、用广口瓶作为层析槽,以二氯甲烷作为展开剂,在硅胶G薄板上点样后, 在层析槽中进行层析。观察每一点的颜色,计算Rf值,用柱层析分离 Rf=0.6的主要红色素。 3、在层析柱的底部垫一团脱脂棉花并压紧它,加入洗脱剂二氯甲烷至层析 柱的3/4高度,打开活塞,放出少许溶剂,用玻璃压脱脂棉中的气泡,再 将30ml二氯甲烷与7.5g硅胶调成糊状加入层析柱中,使吸咐剂装填致密,然后在吸附剂上层覆盖一层石英砂。 4、打开活塞,使二氯甲烷洗脱剂液面降至覆盖硅胶的滤纸上表面,关闭活 塞。将色素的粗混合物溶液(约2ml)小心的转移至层析柱面上(用滴管 转移)。再打开活塞,待红色素溶液液面与滤纸齐平时,缓缓注入二氯甲 烷至高出石英砂2cm即可,以保持层析柱中的固定相不干,当再加入洗 脱剂不再带有色素颜色时,可将洗脱剂加至层析柱最上端。在层析柱下 端用试管分段收集各种颜色的馏分,当红色素洗脱后停止层析。 5、蒸除收集到的红色素馏分中的二氯甲烷,得红色素纯品。 五、实验结果与处理 用紫外光谱鉴别红色素,记录λmax。

数字电子技术实验讲义(试用)

数字电子技术实验 简要讲义 适用专业:电气专业 编写人:于云华、何进 中国石油大学胜利学院机械与控制工程学院 2015.3

目录 实验一:基本仪器熟悉使用和基本逻辑门电路功能测试 (3) 实验二:小规模组合逻辑电路设计 (4) 实验三:中规模组合逻辑电路设计 (5) 实验四:触发器的功能测试及其应用 (7) 实验五:计数器的功能测试及其应用 (8) 实验六:计数、译码与显示综合电路的设计 (9)

实验一:基本仪器熟悉使用和常用门电路逻辑功能测试 (建议实验学时:2学时) 一、实验目的: 1、熟悉实验仪器与设备,学会识别常用数字集成芯片的引脚分配; 2、掌握门电路的逻辑功能测试方法; 3、掌握简单组合逻辑电路的设计。 二、实验内容: 1、测试常用数字集成逻辑芯片的逻辑功能:74LS00,74LS02,74LS04,74LS08,74LS20,74LS32,74LS86等(预习时查出每个芯片的逻辑功能、内部结构以及管脚分配)。 2、采用两输入端与非门74LS00实现以下逻辑功能: ① F=ABC ② F=ABC③ F=A+B ④ F=A B+A B 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容)主要包括: 1、实验电路设计原理图;如:实现F=A+B的电路原理图: 2、实验真值表; 3、实验测试结果记录。如: 输入输出 A B F3 00灭

四、实验总结: (学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)注:本实验室提供的数字集成芯片有: 74LS00, 74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112, 74LS138,74LS153, 74LS161 实验二:小规模组合逻辑电路设计 (建议实验学时:3学时) 一、实验目的: 1、学习使用基本门电路设计、实现小规模组合逻辑电路。 2、学会测试、调试小规模组合逻辑电路的输入、输出逻辑关系。 二、实验内容: 1、用最少的门电路设计三输入变量的奇偶校验电路:当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号) 2、用最少的门电路实现1位二进制全加器电路。(预习时画出电路原理图,注明所用芯片型号) 3、用门电路实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。要求如下:人类由四种基本血型:A、B、AB、O 型。输血者与受血者的血型必须符合下述原则: O型血可以输给任意血型的人,但O型血的人只能接受O型血; AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血; A 型血能给A型与AB型血的人;但A型血的人能够接受A型与O型血; B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。 试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型(预习时画出电路原理图,注明所用芯片型号) 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容),与实验一说明类似。

大学物理综合设计性实验(完整)

综合设计性物理实验指导书黑龙江大学普通物理实验室

目录绪论 实验1 几何光学设计性实验 实验2 LED特性测量 实验3 超声多普勒效应的研究和应用 实验4 热辐射与红外扫描成像实验 实验5 多方案测量食盐密度 实验6 多种方法测量液体表面张力系数 实验7 用Multisim软件仿真电路 实验8 霍尔效应实验误差来源的分析与消除 实验9 自组惠斯通电桥单检流计条件下自身内阻测定实验10 用迈克尔逊干涉仪测透明介质折射率 实验11 光电效应和普朗克常数的测定液体电导率测量实验12 光电池输出特性研究实验 实验13 非接触法测量液体电导率

绪论 一.综合设计性实验的学习过程 完成一个综合设计性实验要经过以下三个过程: 1.选题及拟定实验方案 实验题目一般是由实验室提供,学生也可以自带题目,学生可根据自己的兴趣爱好自由选择题目。选定实验题目之后,学生首先要了解实验目的、任务及要求,查阅有关文献资料(资料来源主要有教材、学术期刊等),查阅途径有:到图书馆借阅、网络查询等。学生根据相关的文献资料,写出该题目的研究综述,拟定实验方案。在这个阶段,学生应在实验原理、测量方法、测量手段等方面要有所创新;检查实验方案中物理思想是否正确、方案是否合理、是否可行、同时要考虑实验室能否提供实验所需的仪器用具、同时还要考虑实验的安全性等,并与指导教师反复讨论,使其完善。实验方案应包括:实验原理、实验示意图、实验所用的仪器材料、实验操作步骤等。 2.实施实验方案、完成实验 学生根据拟定的实验方案,选择测量仪器、确定测量步骤、选择最佳的测量条件,并在实验过程中不断地完善。在这个阶段,学生要认真分析实验过程中出现的问题,积极解决困难,要于教师、同学进行交流与讨论。在这种学习的过程中,学生要学习用实验解决问题的方法,并且学会合作与交流,对实验或科研的一般过程有一个新的认识;其次要充分调动主动学习的积极性,善于思考问题,培养勤于创新的学习习惯,提高综合运用知识的能力。 3.分析实验结果、总结实验报告 实验结束需要分析总结的内容有:(1)对实验结果进行讨论,进行误差分析;(2)讨论总结实验过程中遇到的问题及解决的办法;(3)写出完整的实验报告(4)总结实验成功与失败的原因,经验教训、心得体会。实验结束后的总结非常重要,是对整个实验的一个重新认识过程,在这个过程中可以锻炼学生分析问题、归纳和总结问题的能力,同时也提高了文字表达能力。 在完成综合性、设计性实验的整个过程中处处渗透着学生是学习的主体,学生是积极主动地探究问题,这是一种利于提高学生解决问题的能力,提高学生的综合素质的教学过程。 在综合设计性实验教学过程中学生与教师是在平等的基础上进行探讨、讨论问题,不要产生对教师的依赖。有些问题对教师是已知的,但对学生是未知的,这时教师应积极诱导学生找到解决问题的方法、鼓励学生克服困难,并在引导的过程中帮助学生建立科学的思维方式和研究问题的方法。有些问题对教师也是一个未知的问题,这时教师应与学生共同思考共同解决问题。 二.实验报告书写要求 实验报告应包括:1实验目的;2实验仪器及用具;3实验原理;4实验步骤;5测量原始数据;6数据处理过程及实验结果;7分析、总结实验结果,讨论总结实验过程中遇到的问题及解决的办法,总结实验成功与失败的原因,经验教训、心得体会。 三.实验成绩评定办法 教师根据学生查阅文献、实验方案设计、实际操作、实验记录、实验报告总结等方面综合评定学生的成绩。 (1)查询资料、拟定实验方案:占成绩的20%。在这方面主要考察学生独立查找资料,并根据实验原理设计一个合理、可行的实验方案。 (2)实施实验方案、完成实验内容:占成绩的30%。考察学生独立动手能力,综合运用知识解决实际问题的能力。 (3)分析结果、总结报告:占成绩的20%。主要考察学生对数据处理方面的知识运用情况,分析问题的能力,语言表达能力。 (4)科学探究、创新意识方面:占成绩的20%。考察学生是否具有创新意识,善于发现问题并能解决问题。 (5)实验态度、合作精神:占成绩的10%。考察学生是否积极主动地做实验,是否具有科学、

模拟电路实验讲义..

实验一 单级交流放大电路 一、实验目的 1、 学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、 掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、 熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图1-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R E ,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号u i 后,在放大器的输出端便可得到一个与u i 相位相反,幅值被放大了的输出信号u 0,从而实现了电压放大。 图1-1 共射极单管放大器实验电路 在图1-1电路中,当流过偏置电阻R B1和R B2 的电流远大于晶体管T 的 基极电流I B 时(一般5~10倍),则它的静态工作点可用下式估算 CC B2 B1B1 B U R R R U +≈ U CE =U CC -I C (R C +R E ) 电压放大倍数 C E BE B E I R U U I ≈-≈

be L C V r R R β A // -= 输入电阻 R i =R B1 // R B2 // r be 输出电阻 R O ≈R C 由于电子器件性能的分散性比较大,因此在设计和制作晶体管放大电路时,离不开测量和调试技术。在设计前应测量所用元器件的参数,为电路设计提供必要的依据,在完成设计和装配以后,还必须测量和调试放大器的静态工作点和各项性能指标。一个优质放大器,必定是理论设计与实验调整相结合的产物。因此,除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。 放大器的测量和调试一般包括:放大器静态工作点的测量与调试,消除干扰与自激振荡及放大器各项动态参数的测量与调试等。 1、 放大器静态工作点的测量与调试 1) 静态工作点的测量 测量放大器的静态工作点,应在输入信号u i =0的情况下进行, 即将放大器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流I C 以及各电极对地的电位U B 、U C 和U E 。一般实验中,为了避免断开集电极,所以采用测量电压U E 或U C ,然后算出I C 的方法,例如,只要测出U E ,即可用 E E E C R U I I = ≈算出I C (也可根据C C CC C R U U I -=,由U C 确定I C ), 同时也能算出U BE =U B -U E ,U CE =U C -U E 。 为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 2) 静态工作点的调试 放大器静态工作点的调试是指对管子集电极电流I C (或U CE )的调整与测试。静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u O 的负半周将被削底,如图1-2(a)所示;如工作点偏低则易产生截止失真,即u O 的正半周被缩顶(一般截止失真不如饱和失真明显),如图1-2(b)所示。这些情况都不符合不失真放大

药理学综合设计性实验汇编

药理学综合设计性实验 实验一氯丙嗪的降温作用(设计性实验,4学时) 实验简介:本实验使学生掌握实验设计的基础理论和方法(包括动物选择、实验分组、对照原则、处理因素的标准化等多方面知识),并通过观察氯丙嗪的降温作用,掌握其降温特点,联系临床应用。 实验辅导:至少双人辅导 【实验目的】掌握实验设计的基础理论,通过观察氯丙嗪的降温作用,掌握其降温特点,联系临床应用。 【实验器材】小鼠、注射器、体温计、冰箱、氯丙嗪等。 【实验过程】 一、首先介绍实验设计的基础理论 (一)实验设计是科学研究计划中关于研究方法与步骤的一项内容,是实验研究所涉及的各项基本问题的合理安排。严密合理的实验设计是顺利进行研究工作的保证,同时也能最大限度地减少实验误差以获得精确可靠的实验结论,甚至可以使研究工作事半功倍。 药理学实验设计的三大要素,即处理因素、实验对象与实验效应。 1.处理因素 (1)处理因素实验中根据研究目的确定的由实验者人为施加给受试对象的因素称为处理因素,如药物、某种手术等。 一次实验涉及的因素不宜过多,否则会使分组增多,受试对象的例数增多,在实际工作中难以控制。但处理因素过少,又难以提高实验的广度和深度。 (2)明确非处理因素:非处理因素虽然不是我们的研究因素,但其中有些因素可能会影响实验结果,产生混杂效应,所以这些非处理因素又称混杂因素。设计时明确了这些非处理因素,才能设法消除它们的干扰作用。 (3)处理因素的标准化:处理因素在整个实验过程中应做到标准化,即保持不变,否则会影响实验结果的评价。如实验设计中处理因素是药物时,则药物的剂型、给药途径、质量(成分、出厂批号等)必须保持不变。 2.实验对象 实验对象的选择十分重要,对实验结果有着极为重要的影响。药理学实验主要实验对象包括整体动物(正常动物、麻醉动物和病理模型)、离体器官、组织及细胞等。 3.实验效应 实验效应是指受试对象在处理因素作用后呈现的反应或受到的影响,其具体表现形式是指标。这些指标包括计数指标(或定性指标)和计量指标(或定量指标)等。指标的选定需符合特异性、客观性、重复性、灵敏性、精确性、可行性等原则。 (二)药理学实验设计的基本原则 为了提高研究效率,控制误差和偏倚,药理学实验设计同其它科学研究一样必须遵循三大基本原则,即对照、随机和重复原则。 1.对照原则 对照是比较的前提。在生物学实验中存在许多影响因素,为消除无关因素对实验结果的

课程综合实验与设计答案

江南大学现代远程教育考试大作业 考试科目:《课程综合实验(专科)》 一、刀具、切削力实验简答题 1、刀具几何角度的参考系有哪些 , 答:刀具几何角度的参考系分为静止参考系和工作参考系两类,有正交平面参考系,法平面参考系,假定工作平面参考系。 为了保证切削加工的顺利进行,获得合格的加工表面,所用刀具的切削部分必须具有合理的几何形状。刀具角度是用来确定刀具切削部分几何形状的重要参数。 为了描述刀具几何角度的大小及其空间的相对位置,可以利用正投影原理,采用多面投影的方法来表示。用来确定刀具角度的投影体系,称为刀具角度参考系,参考系中的投影面称为刀具角度参考平面。 用来确定刀具角度的参考系有两类:一类为刀具角度静止参考系,它是刀具设计时标注、刃磨和测量的基准,用此定义的刀具角度称为刀具标注角度;另一类为刀具角度工作参考系,它是确定刀具切削工作时角度的基准,用此定义的刀具角度称为刀具的工作角度。 1)刀具角度参考平面:用于构成刀具角度的参考平面主要有:基面、切削平面、正交平面、法平 面、假定工作平面和背平面。 2)⑴基面Pr:过切削刃选定点,垂直于主运动方向的平面。通常,它平行(或垂直)于刀具上的 安装面(或轴线)的平面。例如:普通车刀的基面Pr,可理解为平行于刀具的底面; 3)⑵切削平面Ps:过切削刃选定点,与切削刃相切,并垂直于基面Pr的平面。它也是切削刃与切 削速度方向构成的平面; | ⑶正交平面Po:过切削刃选定点,同时垂直于基面Pr与切削平面Ps的平面; ⑷法平面Pn:过切削刃选定点,并垂直于切削刃的平面; ⑸假定工作平面Pf:过切削刃选定点,平行于假定进给运动方向,并垂直于基面Pr的平面; ⑹背平面Pp:过切削刃选定点,同时垂直于假定工作平面Pf与基面Pr的平面。 4)刀具角度参考系:刀具标注角度的参考系主要有三种:即正交平面参考系、法平面参考系和假定 工作平面参考系。

电力系统分析实验讲义(稳态)汇编

电力系统分析(上)实验讲义

学习-----好资料 实验一:节电导纳矩阵的形成 .实验目的 掌握节点导纳矩阵形成的方法 .实验学时:2学时 n 个独立节点的网络,n 个节点方程 Y B ^ ll 0式中的Y B 即为节点导纳矩阵 具体说,Y ii 就等于与节点i 相连的所有支路导纳的和。 2.互导纳 j Y ji 丁 丫》=丫门=—yj \ i ,Uj 舟护 即给节点i 加单位电压,其余节点全部接地,由节点 j 注入网络的电流。 节点导纳矩阵的特点: (1) 直观易得 阶数:等于除参考节点外的节点数 n ;对角元:等于该节点所连导纳的总和; 非对角元Yij :等于连接节点i 、j 支路导纳的负值。 (2) 稀疏矩阵,非对角元素中有大量的零元素。 (3) 对称矩阵。 3 .非标准变比变压器 在包括变压器的输电线路中,变压器线圈匝数比为标准变比时,变压器的高、 低压两侧的电压和电流值用线圈匝数比来换算是不成问题的。但是变压器线圈匝数 比为不等于标准变比时需要加以注意。 图中山^2, 是按标准变比换算出来的变压器高、低压侧的电压和电流,理 想变压器的线圈匝数比k : 1表示变压器线圈匝数比对标准变比的比值 由图可得: ”1十 .实验原理与方法 1 .自导纳

对于用导纳表示的二形等值网络,从1-1'端口看进去的节点自导纳为: 论(1 -k)Y r =齐,和k 等于1时相同。 从2-2'端口看进去的节点自导纳为: 丫22二kY T ?k(k-1)Y T 二k 2 V r ,是标准变比时导纳的 k 2倍 互导纳Y ,2二丫2i kY 「是标准变比时导纳的k 倍。 由以上可见,当有非标准变比变压器时,可按如下次序形成节点导纳矩阵。 (1) 先不考虑非标准变比(认为k=1),求导纳矩阵。 (2) 再把接入非标准变比变 压器的节点的自导纳加上 (k 2-1)Y r ,其中Y T 是 从变压器相连接的另一端节点来看变压器的漏抗的倒数。 (3) 由接入非标准变比变压器的对端节点来看自导纳不变。 (4) 变压器两节点间的互导纳加上-(k -1)Y T 4.系统变更时的修正 (1) 从原有的节点上引出新的支路(输电线路或变压器),在这一支路另一端设新 的节点。 (2) 在原有的支路上并联新的支路。 (3) 在没有支路直接相连的两个原有节点间附加新的支路。 (4) 原有变压器的变比或者分接头位置发生变化时。 下面分别讨论这几种变更情况。 (1) 从原节点i 增加新的节点j 和新的阻抗为z 的支路时,节电导纳矩阵的阶次 增加一 阶。自导纳和互导纳变化如下: 1 Y j =Y ji … z Y i =Y i (0 )+1 z U l kU 2 TU I 三5 4?3U Z T Z T kU , k 2 U Z T 上面的电压电流关系用-形等值网络表示有两种: a) (a) 1 1 Z o Z Z o

综合与设计性大学化学实验

综合与设计性实验讲义 目录 模块一 实验一茶叶中提取咖啡因(综合性化学实验) (1) 实验二黄连中小檗碱的提取和鉴定(设计性化学实验) (5) 实验三烟叶中烟碱的提取与定性分析测定(综合性化学实验) (6) 实验四玉米须中黄酮和多糖的提取、鉴别与含量测定(设计性化学实验)··10 模块二 实验五高锰酸钾法测定蛋壳中CaO的含量(设计性化学实验) (12) 实验六维生素C药片中抗坏血酸含量的测定(综合性化学实验) (13) 实验七葡萄糖酸锌的制备和分析(综合性化学实验) (15) 模块三 实验八 1,2,4-三唑的制备(设计性化学实验) (18) 实验九聚乙烯醛缩甲醛胶水的制备(综合性化学实验) (19) 实验十香豆素-3-羧酸的制备 (20) 实验十一三草酸合铁(Ⅲ)酸钾的制备、性质和组成分析(设计性化学实验)。22实验十二固体酒精的制备及燃烧热的测定(综合性化学实验) (24) 说明:本实验课程要求学生从三个模块(见附表)中选出四个实验题目,即从模块一、模块二中各择一个实验题目,从模块三中选择二个。四个实验题目中设计性实验不得少于一个。设计性实验要提供设计方案,列举可行的方案。实验前要交给指导老师批阅。 例如:模块一中选择烟叶中烟碱的提取与定性分析测定(综合性化学实验),模块二中选择高锰酸钾法测定蛋壳中CaO的含量(设计性化学实验), 模块三中选择聚乙烯醛缩甲醛胶水的制备(综合性化学实验),环保颜料氧化铁黄的制备定(综合性化学实验)

模块一 实验一茶叶中的咖啡因的提取及其红外光谱的测定 A 茶叶中的咖啡因的提取 一、实验目的 (1)通过从茶叶中提取咖啡因学习固-液萃取的原理及方法。 (2)掌握索氏提取器的原理及作用。 (3)掌握升华原理及操作。 二、实验原理 茶叶中含有多种黄嘌呤衍生物的生物碱,其主要成分为含量约占1%~5%的咖啡因(Caffeine,又名咖啡碱),并含有少量茶碱和可可豆碱,以及11%~12%的丹宁酸(又称鞣酸),还有约0.6%的色素、纤维素和蛋白质等。 咖啡因的化学名为1,3,7-三甲基-2,6-二氧嘌呤,其结构为: O N H3C N O CH3N N CH3 N N H N N 纯咖啡因为白色针状结晶体,无臭,味苦,置于空气中有风化性。易溶于水、乙醇、氯仿、丙酮、微溶于石油醚,难溶于苯和乙醚,它是弱碱性物质,水溶液对石蕊试纸呈中性反应。咖啡因在100℃时失去结晶水并开始升华,120℃升华显著,178℃时很快升华。无水咖啡因的熔点为238℃。咖啡因具有刺激心脏,兴奋大脑神经和利尿等作用,因此可单独作为有关药物的配方。咖啡因可由人工合成法或提取法获得。本实验采用索氏提取法从茶叶中提取咖啡因。利用咖啡因易溶于乙醇,易升华等特点,以95%乙醇作溶剂,通过索氏提取器(或回流)进行连续抽提,然后浓缩、焙炒而得粗制咖啡因,在通过升华提取得到纯的咖啡因。 三、实验装置 1.索氏提取器:见图2-17。 2.回流提取装置:在无索氏提取器的情况下,可采用回流冷凝装置(图 3-13)。但一般回流冷凝装置所用溶剂量较大,且提取效果较索氏提取器差。

综合化学实验讲义

宁夏理工学院综合化学实验(试用版) 罗桂林陈兵兵陈丽等主编 文理学院化工系 2014年10月

目录 实验一过氧化钙的合成及含量分析.............................. 错误!未定义书签。实验二三草酸合铁(Ⅲ)酸钾的制备及组成测定.................. 错误!未定义书签。实验三食盐中碘含量的测定(分光光度法)..................... 错误!未定义书签。实验四乙酸正丁酯的制备...................................... 错误!未定义书签。实验五水果中总酸度及维生素C含量的测定...................... 错误!未定义书签。实验六查尔酮的全合成........................................ 错误!未定义书签。

实验一过氧化钙的合成及含量分析 一、实验目的 1. 掌握制备过氧化钙的原理及方法。 2. 掌握过氧化钙含量的分析方法。 3. 巩固无机制备及化学分析的基本操作。 二、实验原理 在元素周期表中,第一主族和第二主族以及银与锌等均可形成化学稳定性各异的简单过氧化物;它们是氧化剂,对生态环境是有好的,生产过程中一般不排放污染物,可以实现污染的零排放。 CaO 2·8H 2 O是白色或微黄色粉末,无臭无味,在潮湿空气中可以长期缓慢释 放出氧气,50℃转化为CaO 2·2H 2 O,110℃-150℃可以脱水,转化为CaO 2, 室温下 较为稳定,加热到270℃时分解为CaO和O 2。 2CaO 2 =2CaO + O 2 △ r H m = mol CaO 2难溶于水,不溶于乙醇和丙酮,它与稀酸反应生成H 2 O 2 ,若放入微量的 碘化钾作催化剂,可作为应急氧气源;CaO 2 广泛用作杀菌剂、防腐剂、解酸剂和 油类漂白剂,CaO 2 也是种子及谷物的消毒剂,如将其用于稻谷种子拌种,不易发生秧苗烂根。 制备的原料可以是CaCl 2·6H 2 O、H 2 O 2 、NH 3 ·H 2 O,也可以是Ca(OH) 2 和NH 4 Cl, 在较低的温度下,通过原料物质之间的反应,在水溶液生成CaO 2·8H 2 O,在110℃ 条件下真空干燥,得到白色或微黄色粉末CaO 2 。有关反应式如下: CaCl 2 + 2 NH 3 ·H 2 O = 2NH 4 Cl + Ca(OH) 2 Ca(OH) 2 + H 2 O 2 + 6 H 2 O = CaO 2 ·8H 2 O 连解得: CaCl 2 + H 2 O 2 + 2 NH 3 ·H 2 O + 6 H 2 O ══ CaO 2 ·8H 2 O + 2NH 4 Cl 过氧化钙含量的测定,可以利用在酸性条件下,过氧化钙与稀酸反应生成过氧化氢,用标准高锰酸钾滴定来确定其含量。为加快反应,可加入微量的硫酸锰。 5CaO 2 + 2MnO 4 - + 16H+ = 5Ca2+ + 2Mn2+ + 5O 2 ↑+ 8H 2 O CaO 2的质量分数为:W(CaO 2 )= *C *V *M /m

电子电路综合实验讲义全

实验选题一:烟雾报警器的设计实现 一、设计任务 烟雾报警有很多应用的地方,一些特定的地方对烟雾浓度也有一定限制,比如厨房、天然气存储的地方,还有吸烟的场所。现在要设计的课题就是需要监测指定环境内的烟雾浓度,并显示浓度的等级,系统根据不同的等级选择是否开启排风机,改善室内空气质量,并对高等级的烟雾浓度进行报警。 二、设计要求及其指标 要对浓度分级显示,并根据等级选择开启排风扇,对最高浓度报警。具体的要求就是: 1.能够检测指定环境内烟雾浓度并将烟雾浓度分为三级加以显示。 2.当浓度超过第二等级时系统自动开启风扇排风。 3.当浓度超过最高等级时系统发出声音警报。 4.当浓度超过最高等级时系统发出语音提示警报。 三、设计思路 1、浓度等级就是利用QM-N5讲烟雾浓度转化为模拟电压信号; 2、然后将模电信号转化为数字信号,这样就能进行等级划分,将不同浓度 划分为三个等级; 3、并用数码管显示出来; 4、烟雾浓度大于或等于2级时,控制风扇排风; 5、三级浓度时控制蜂鸣器报警; 6、语音录放芯片录音,并在三级烟雾浓度时,控制其放音。

这个上面的等级显示不一定非得是这里标的0、1、2。学生在做的时候可以自由选择显示,但是必须实现相应的功能。 四、所需准备的知识 首先需要查阅资料熟悉器件技术指标、器件原理、器件管脚和接法。 对烟雾浓度分级部分计算理论值。 输出控制部分熟悉CD4052的原理,并分析实验中如何实现输出控制,分析其逻辑实现。 显示部分分析编码器、反相器、数码管的连接。 风扇和蜂鸣器部分掌握三极管驱动的原理和继电器的原理。 语音报警部分使用的芯片管脚比较多,需要熟悉管脚接法和如何进行语音播报。 五、参考资料 1、罗杰;谢自美.电子线路设计实验测试.电子工业出版社

综合性、设计性实验指导书(范本)

设计性实验指导书 实验名称:冷冻鱼糜及鱼糜制品的生产 实验项目性质:本实验是食品科学与工程专业水产品加工方向的学生在学习了《水产食品加工学》这门课程之后,将其课堂上学习的水产品加工理论知识应用到生产实践的一个设计性试验。该实验是由学生自己设计鱼糜制品(鱼丸)的配方和生产工艺。通过实验可以实现以学生自我训练为主的教学模式,使学生更好地掌握实验原理、操作方法、步骤,全面了解掌握鱼糜制品弹性形成的机理、掌握鱼糜制品制造的技术原理、掌握影响鱼糜制品弹性的因素。培养学生思考问题、分析问题和解决问题的能力,提高学生的创新思维和实际动手能力,提高学生驾驭知识的能力,培养学生事实求是的科学态度,百折不挠的工作作风,相互协作的团队精神,勇于开拓的创新意识。通过开展这项工作,将有利于学校培养社会所需要的高素质、创新型人才。 所属课程名称:水产食品加工学 计划学时:10 一、实验目的 1、掌握冷冻鱼糜的生产原理和工艺技术;抗冻剂防治鱼肉蛋白质冷冻变性的作用;鱼肉蛋白质变性的特征变化。 2、掌握鱼糜制品弹性形成的机理及其影响弹性的因素。 3、掌握鱼糜制品制造的生产技术。 4、掌握鱼糜凝胶化和凝胶劣化的性质。 5、学习鱼糜制品弹性感观检验方法。 二、设计指标 设计的鱼糜制品(鱼丸)主要考虑如下质量指标: 1、鱼丸的凝胶强度 2、鱼丸的风味 3、鱼丸的香气 4、鱼丸的产品成数 5、鱼丸的白度 6、鱼丸的水分 三、实验要求(设计要求) 1、要求学生首先查资料,搞清楚不同鱼种在制作冷冻鱼糜时形成凝胶的特性,熟悉冷冻鱼糜的制作工艺过程,了解其相关的机械设备。 2、学生自己设计鱼糜制品(鱼丸)的配方和生产工艺。按5人为一实验小组,学生自己拆装、调试设备。各实验小组自己根据鱼糜制品制造的技术原理、影响鱼糜制品弹性的因素,各组自己制定鱼丸生产工艺,产品配方,用各实验小

相关文档
相关文档 最新文档