文档库 最新最全的文档下载
当前位置:文档库 › 00IC-EPM240引脚分配手册

00IC-EPM240引脚分配手册

00IC-EPM240引脚分配手册

1、数码管与EPM240引脚I/O对应关系

位选SEG1 SEG2 SEG3 SEG4

I/O 1 2 3 4

段选 a b c d e f g dp I/O 91 92 95 96 97 98 99 100

2、8*8LED点阵与EPM240引脚I/O对应关系

行位H1 H2 H3 H4 H5 H6 H7 H8 I/O 90 89 88 87 86 85 84 83 列位L1 L2 L3 L4 L5 L6 L7 L8 I/O 82 81 78 77 76 75 74 73

3、模拟交通灯与EPM240引脚I/O对应关系

交通灯EAST SOUTH WEST NORTH GREEN YELLOW RED I/O 66 67 68 69 70 71 72

4、拨码开关与EPM240引脚I/O对应关系

拨码开关SW1 SW2 SW3 SW4 SW5 SW6 SW7 SW8 I/O 39 38 37 36 35 34 33 30

5、矩阵键盘与EPM240引脚I/O对应关系

按键K1 K2 K3 K4 K5 K6 K7 K8 K9 K10 K11 K12 I/O 62 53 52 14 28 64 57 58 61 54 55 56

6、LCD1602液晶接口与EPM240引脚I/O对应关系

LCD1602 LCDWR LCDEN D0 D1 D2 D3 D4 D5 D6 D7 I/O 50 51 40 41 42 43 44 47 48 49

7、8位LED灯与EPM240引脚I/O对应关系

LED LED15 LED16 LED17 LED18 LED19 LED20 LED21 LED22 I/O 15 16 17 18 19 20 21 26

8、晶振输入、蜂鸣器、复位按键与EPM240引脚I/O对应关系

外接资源对应I/O

晶振输入CLK 12

蜂鸣器BELL 7

复位按键RESET 8

9、RS232串口和PS/2接口与EPM240引脚I/O对应关系

外接资源对应I/O

TX 5 RS232串口

RX 6

PS/DATA 27 PS/2接口

PS/CLK 29

FPGA学习笔记之引脚分配

2016/2/10 笔记一:分配引脚的四种方法:(Quartus II 13.0sp1 (64-bit)) 1、常规方法,利用Pin Planner命令,适用于引脚使用比较少的工程,简洁方便; 2、使用.csv文件进行引脚分配: 步骤一:利用记事本新建一个.csv的格式文件,内容格式如图下图所示,然后保存; 步骤二:选择菜单栏Assignments-->Import Assignment,添加刚才生成的文件路径;

步骤三:点击OK,引脚分配完成。 注意:.csv文件保存路径不要有中文,建议保存在工程文件夹下。 3、使用.qsf文件进行引脚分配: 步骤一:在Quartus II中打开.qsf文件(系统默认生成.qsf文件,默认保存在该工程文件夹下) 步骤二:添加以下格式内容,格式如下图所示; 步骤三:点击保存,引脚分配完成。 4、使用.tcl文件进行引脚分配: 步骤一:生成.tcl文件,选择菜单栏Project-->Generate Tcl File For Project,点击OK,默认保存路径为该工程文件夹; 步骤二:添加以下格式内容,格式和.qsf文件格式一致; 步骤三:选择菜单栏Tool-->Tcl Scripts,选择生成的.tcl文件,点击Run,引脚分配完成。

说明:在实际的应用过程中,我们应该根据工程的子模块个数和引脚的使用多少来选择合适的引脚分配方式,笔者总结了以下几条:(不喜勿喷,还望多多赐教) 1、工程中使用的引脚数为个位数时,并且特别少,建议使用常规方法,利用Pin Planner 命令进行引脚分配; 2、工程中只有一个子模块时,如果引脚众多,尤其使用到数码管显示时,建议使用.tcl 文件进行引脚分配; 如图所示,.tcl文件中标识符和变量名已经给出,只需要输入对应引脚,比较方便。在多子模块的情况下,.tcl文件中没有给出标识符和变量名,这点需要注意。 3、多个子模块,使用引脚众多的情况下,利用.tcl文件、.csv文件和.qsf文件进行引脚分配大同小异,不过个人更喜欢利用.csv文件进行引脚分配,因为格式相对简单。

QuartusII中FPGA管脚的分配策略

Quartus II中FPGA管脚的分配策略 编写:*** 校核: 审核: 二〇一年月日

目录 目录..........................................................................................I QUARTUS II中FPGA管脚分配策略.. (1) 1.FPGA管脚介绍 (1) 1.1.电源管脚 (1) 1.2.配置管脚 (2) 1.3.普通I/O管脚 (2) 1.4.时钟管脚 (2) 2.FPGA管脚分配方法 (3) 2.1.P IN P LANNER方式 (3) 2.2.I MPORT A SSIGNMENTS方式 (4) 2.3.T CL S CRIPTS方式 (6) 2.4.项目组统一使用方式 (9) 3.编写FPGA管脚分配文件 (10) 3.1.查看PDF格式的原理图 (10) 3.2.查看P RJ PCB格式的原理图 (11) 4.保存FPGA管脚分配文件 (12) 4.1.T CL格式或CSV格式 (12) 4.2.QSF格式 (12) 4.3.项目组统一使用格式 (12) 附录管脚类型说明 (13)

Quartus II中FPGA管脚分配策略 1. FPGA管脚介绍 FPGA的管脚从使用对象来说可分为两大类:专用管脚和用户自定义管脚。一般情况下,专用管脚大概占FPGA管脚数的20% ~ 30%,剩下的70% ~ 80%为用户自定义管脚。从功能上来说可分为电源管脚、配置管脚、时钟管脚、普通I/O管脚等。 下面以Altera公司的Cyclone IV E系列芯片EP4CE30F23C8为例,如图1所示,芯片总共包含484个芯片管脚。图中不同颜色的区域代表不同的Bank,整个芯片主要分为8个Bank,FPGA的各个管脚分布在不同的Bank中。 其中,三角形标记的管脚为电源管脚,正三角表示VCC,倒三角表示GND,三角内部的O表示I/O管脚电源,I表示内核电源。 圆形标记的管脚为普通用户I/O管脚,可以由用户随意使用。 正方形标记且内部有时钟沿符号的管脚为全局时钟管脚。 五边形标记的管脚为配置管脚。 图1 Wire Bond 1.1. 电源管脚 FPGA通常需要两个电压才能运行,一个是内核电压,另一个是I/O电压。每个电压通过独立的电源管脚来提供。内核电压是用来给FPGA内部的逻辑门和触发器供电。随着FPGA的发展,内核电压从5V、3.3V、2.5V、1.8V到1.5V ,变得越来越低。I/O电压用来给各个Bank供电,每个Bank 都有独立的I/O电压输入。一般情况下,内核电压会比I/O电压低。

在Quartus II中分配管脚的两种常用方法

在Quartus II中分配管脚的两种常用方法. 示范程序 seg7_test.v 此例化文件共需要17个管脚。接下来我和大家一起讨论使用QII分配管脚的两种常用方法。 方法一:Import Assignments 步骤1:使用记事本或类似软件新建一个txt文件(或csv文件),按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的艾米电子2C8开发版为范例)。注意:To和Location两个关键字中间有一个半角逗号。

图1 pin.txt 步骤2:在QII软件中,选择“Assignments ——Import Assignments”。如图所示,导入xxx.txt文件即可。 图2 导入pin.txt 步骤3:在QII软件中,选择“Assignments ——Pin”标签(或者点击按钮),打开Pin Planner,验证管脚是否分配正确。

图3 验证管脚是否分配正确 方法二:source xxx.tcl 步骤1:在QII软件中,使用“Assignments ——Remove Assignments”标签,移除管脚分配内容,以确保此次操作,分配的管脚没有因为覆盖而出现错误的情况。

图4 Remove Assignments 注:在未执行任何管脚分配操作新工程中,可跳过步骤1。 步骤2:使用记事本或类似软件新建一个tcl文件,按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的艾米电子2C8开发版为范例)。 注意关键字set_location_assignment和-to的用法。

图5 pin.tcl 步骤3:执行pin.tcl 方法1:在QII软件中,使用“View ——Utility Windows ——Tcl Console”标签,打开Quartus II Tcl Console。执行语句: 图6 source pin.tcl 方法2:在QII软件中,使用“Tools ——Tcl Scripts …”标签,打开Tcl Scripts。

fpga引脚分配

FPGA管脚分配需要考虑的因素 在芯片的研发环节,FPGA验证是其中的重要的组成部分,如何有效的利用FPGA的资源,管脚分配也是必须考虑的一个重要问题。一般较好的方法是在综合过程中通过时序的一些约束让对应的工具自动分配,但是从研发的时间段上来考虑这种方法往往是不可取的,RTL 验证与验证板设计必须是同步进行的,在验证代码出来时验证的单板也必须设计完毕,也就是管脚的分配也必须在设计代码出来之前完成。所以,管脚的分配更多的将是依赖人,而非工具,这个时候就更需要考虑各方面的因素。 综合起来主要考虑以下的几个方面: 1、FPGA所承载逻辑的信号流向。 IC验证中所选用的FPGA一般逻辑容量都非常大,外部的管脚数量也相当的丰富,这个时候就必须考虑到PCB设计时的布线的难度,如果管脚的分配不合理,那么有可能在PCB设计时出现大量的交叉的信号线,这给布线带来很大的困难,甚至走不通,或者是即便是布线走通了,也有可能由于外部的延时过大而不满足时序方面的要求。所以在管脚分配前对FPGA工作的环境要相当的熟悉,要对其中的信号来自哪里去向何方非常的清楚,这就按照连线最短的原则将对应的信号分配到与外部器件连线最近的BANK中,2、掌握FPGA内部BANK的分配的情况。 现在FPGA内部都分成几个区域,每个区域中可用的I/O管脚数量各不相同。在IC验证中都是采用了ALTERA 与XILINX系列的FPGA ,这两个厂商的FPGA中内部BANK 的分配有一定的差异,这可以在设计中查阅相关的手册。下面与ALTERA中Stratix II 系列的FPGA内部BANK的分配为例来进行说明。 图中详细说明了FPGA内部BANK的分配情况和每个BANK中所支持的I/O标准。根

FPGA引脚分配方法

第二种:建立TCL文件进行管脚分配。这种方法比较灵活,是比较常用的。 这种方法具有分配灵活,方便快捷,可重用性等多方面优点。方法如下: 选择Projects菜单项,并选择Generate tcl file for project选项,系统会为你自动生成相应文件,然后你只要向其中添加你的分配内容就可以了。还有一种方法就是直接用new ,新建一个TCL文件即可,具体不再细讲。 下面是我分配的内容一部分,可供大家参考。 set_global_assignment -name FAMILY Cyclone set_global_assignment -name DEVICE EP1C3T144C8 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 8.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:14:58 JANUARY 06, 2009" set_global_assignment -name LAST_QUARTUS_VERSION 8.0 set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP" set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region" set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region" set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8 set_global_assignment -name FITTER_EFFORT "STANDARD FIT" set_global_assignment -name BDF_FILE topDesign.bdf set_global_assignment -name QIP_FILE nios.qip set_global_assignment -name QIP_FILE altpll0.qip

QuartusII中分配管脚的两种常用方法

小時不識月Stupid & Hungry 时常记记,以防忘记!FPGA相关事宜,请在新浪微博@COM张一同讨论。。。[原创].在Quartus II中分配管脚的两种常用方法 示范程序 seg7_test.v 此例化文件共需要17个管脚。接下来我和大家一起讨论使用QII分配管脚的两种常用方法。方法一:Import Assignments

步骤1:使用记事本或类似软件新建一个txt文件(或csv文件),按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的艾米电子2C8开发版为范例)。 注意:To和Location两个关键字中间有一个半角逗号。 图1 pin.txt 步骤2:在QII软件中,选择“Assignments ——Import Assignments”。如图所示,导入xxx.txt文件即可。 图2 导入pin.txt

步骤3:在QII软件中,选择“Assignments ——Pin”标签(或者点击按钮),打开Pin Planner,验证管脚是否分配正确。 图3 验证管脚是否分配正确 方法二:source xxx.tcl 步骤1:在QII软件中,使用“Assignments ——Remove Assignments”标签,移除管脚分配内容,以确保此次操作,分配的管脚没有因为覆盖而出现错误的情况。

图4 Remove Assignments 注:在未执行任何管脚分配操作新工程中,可跳过步骤1。 步骤2:使用记事本或类似软件新建一个tcl文件,按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的艾米电子2C8开发版为范例)。 注意关键字set_location_assignment和-to的用法。

Quartus II中FPGA管脚的分配策略

Quartus II中FPGA管脚分配策略Quartus II中FPGA管脚的分配策略 编写:*** 校核: 审核: 二〇一年月日

目录 目录 目录..........................................................................................I QUARTUS II中FPGA管脚分配策略.. (1) 1.FPGA管脚介绍 (1) 1.1.电源管脚 (1) 1.2.配置管脚 (2) 1.3.普通I/O管脚 (2) 1.4.时钟管脚 (2) 2.FPGA管脚分配方法 (3) 2.1.P IN P LANNER方式 (3) 2.2.I MPORT A SSIGNMENTS方式 (3) 2.3.T CL S CRIPTS方式 (6) 2.4.项目组统一使用方式 (8) 3.编写FPGA管脚分配文件 (9) 3.1.查看PDF格式的原理图 (9) 3.2.查看P RJ PCB格式的原理图 (10) 4.保存FPGA管脚分配文件 (11) 4.1.T CL格式或CSV格式 (11) 4.2.QSF格式 (11) 4.3.项目组统一使用格式 (11) 附录管脚类型说明 (12)

Quartus II中FPGA管脚分配策略 1.FPGA管脚介绍 FPGA的管脚从使用对象来说可分为两大类:专用管脚和用户自定义管脚。一般情况下,专用管脚大概占FPGA管脚数的20% ~ 30%,剩下的70% ~ 80%为用户自定义管脚。从功能上来说可分为电源管脚、配置管脚、时钟管脚、普通I/O管脚等。 下面以Altera公司的Cyclone IV E系列芯片EP4CE30F23C8为例,如图1所示,芯片总共包含484个芯片管脚。图中不同颜色的区域代表不同的Bank,整个芯片主要分为8个Bank,FPGA的各个管脚分布在不同的Bank中。 其中,三角形标记的管脚为电源管脚,正三角表示VCC,倒三角表示GND,三角内部的O表示I/O管脚电源,I表示内核电源。 圆形标记的管脚为普通用户I/O管脚,可以由用户随意使用。 正方形标记且内部有时钟沿符号的管脚为全局时钟管脚。 五边形标记的管脚为配置管脚。 图1 Wire Bond 1.1.电源管脚 FPGA通常需要两个电压才能运行,一个是内核电压,另一个是I/O电压。每个电压通过独立的电源管脚来提供。内核电压是用来给FPGA内部的逻辑门和触发器供电。随着FPGA的发展,内核电压从5V、3.3V、2.5V、1.8V到1.5V ,变得越来越低。I/O电压用来给各个Bank供电,每个Bank都有独立的I/O电压输入。一般情况下,内核电压会比I/O电压低。

Quartus II自动添加管脚分配的方法

二、管脚分配方法 FPGA 的管脚分配,除了在QII软件中,选择“Assignments ->Pin”标签(或者点击按钮),打开Pin Planner,分配管脚外,还有以下2种方法。 方法一:Import Assignments 步骤1: 使用记事本或类似软件新建一个txt文件(或csv文件),按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的DIY_DE2开发板为范例)。【这种方式格式最为简单】 注意:To和Location两个关键字中间有一个半角逗 号。 图1 pin.txt 步骤2: 在QII软件中,选择“Assignments -> Import Assignments”。如图所示,导入xxx.txt或者xxx.csv文件即可

图2 导入pin.txt 步骤3: 在QII软件中,选择“Assignments -> Pin”标签(或者点击按钮),打开Pin Planner,验证管脚是否分配正确。

图3 验证管脚是否分配正确 方法二:导入source xxx.tcl文件 步骤1: 在QII软件中,使用“Assignments -> Remove Assignments”标签,移除管脚分配内容,以确保此次操作,分配的管脚没有因为覆盖而出现错误的情况。

图4 Remove Assignments 注:在未执行任何管脚分配操作新工程中,可跳过步骤1。 步骤2: 使用记事本或类似软件新建一个tcl文件,按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的DIY_DE2开发板为范例)。 注意关键字set_location_assignment和-to的用法。

DE2引脚分配

六附录表DE2平台的引脚分配表 表6- 1 SDRAM pin assignments Signal Name FPGA Pin No. Description DRAM_ADDR[0] PIN_T6 SDRAM Address[0] DRAM_ADDR[1] PIN_V4 SDRAM Address[1] DRAM_ADDR[2] PIN_V3 SDRAM Address[2] DRAM_ADDR[3] PIN_W2 SDRAM Address[3] DRAM_ADDR[4] PIN_W1 SDRAM Address[4] DRAM_ADDR[5] PIN_U6 SDRAM Address[5] DRAM_ADDR[6] PIN_U7 SDRAM Address[6] DRAM_ADDR[7] PIN_U5 SDRAM Address[7] DRAM_ADDR[8] PIN_W4 SDRAM Address[8] DRAM_ADDR[9] PIN_W3 SDRAM Address[9] DRAM_ADDR[10] PIN_Y1 SDRAM Address[10] DRAM_ADDR[11] PIN_V5 SDRAM Address[11] DRAM_DQ[0] PIN_V6 SDRAM Data[0] DRAM_DQ[1] PIN_AA2 SDRAM Data[1] DRAM_DQ[2] PIN_AA1 SDRAM Data[2] DRAM_DQ[3] PIN_Y3 SDRAM Data[3] DRAM_DQ[4] PIN_Y4 SDRAM Data[4] DRAM_DQ[5] PIN_R8 SDRAM Data[5] DRAM_DQ[6] PIN_T8 SDRAM Data[6] DRAM_DQ[7] PIN_V7 SDRAM Data[7] DRAM_DQ[8] PIN_W6 SDRAM Data[8] DRAM_DQ[9] PIN_AB2 SDRAM Data[9] DRAM_DQ[10] PIN_AB1 SDRAM Data[10] DRAM_DQ[11] PIN_AA4 SDRAM Data[11] DRAM_DQ[12] PIN_AA3 SDRAM Data[12] DRAM_DQ[13] PIN_AC2 SDRAM Data[13] DRAM_DQ[14] PIN_AC1 SDRAM Data[14] DRAM_DQ[15] PIN_AA5 SDRAM Data[15] DRAM_BA_0 PIN_AE2 SDRAM BankAddress[0] DRAM_BA_1 PIN_AE3 SDRAM BankAddress[1] DRAM_LDQM PIN_AD2 SDRAM Low-byte DataMask DRAM_UDQM PIN_Y5 SDRAM High-byte DataMask DRAM_RAS_N PIN_AB4 SDRAM RowAddressStrobe DRAM_CAS_N PIN_AB3 SDRAM Column AddressStrobe DRAM_CKE PIN_AA6 SDRAM Clock Enable DRAM_CLK PIN_AA7 SDRAM Clock DRAM_WE_N PIN_AD3 SDRAM WriteEnable

详解Arduino Uno开发板的引脚分配图及定义

详解Arduino Uno开发板的引脚分配图及定义(重 要且基础) 首先开发板实物图如下: 在本篇文章中,我们将详细介绍Arduino开发板的硬件电路部分,具体来说,就是介绍Arduino Uno开发板的引脚分配图及定义。Arduino Uno微控制器采用的是Atmel 的ATmega328。Arduino Uno开发板的引脚分配图包含14个数字引脚、6个模拟输入、电源插孔、USB连接和ICSP插头。引脚的复用功能提供了更多的不同选项,例如驱动电机、LED、读取传感器等。在这篇文章中,我们将介绍Arduino Uno引脚的功能。 Arduino Uno引脚分配图

Arduino Uno引脚分配- 电源 Arduino Uno开发板可以使用三种方式供电: ●直流电源插孔-可以使用电源插孔为Arduino开发板供电。电源插孔通常连接到一个适配器。开发板的供电范围可以是5-20V,但制造商建议将其保持在7-12V之间。高于12V时,稳压芯片可能会过热,低于7V可能会供电不足。 ●VIN引脚- 该引脚用于使用外部电源为Arduino Uno开发板供电。电压应控制在上述提到的范围内。 ●USB电缆- 连接到计算机时,提供500mA/5V电压。

在电源插孔的正极与VIN引脚之间链接有一个极性保护的二极管,额定电流为1安培。您使用的电源决定了可用于电路的功率。例如,使用USB为电路供电时,电流最大限制在500mA。考虑到该电源也用于为MCU、外围设备、板载稳压器和与其连接的组件供电。当通过电源插座或VIN为电路供电时,可用的最大电流取决于Arduino开发板上的5V和3.3V稳压器。 ●5v和3v3 根据制造商的数据手册,它们提供稳压的5V和3.3v,向外部组件供电。 ●GND 在Arduino Uno引脚分配图中,可以看到有5个GND引脚,它们都是互连的。 GND引脚用于闭合电路回路,并在整个电路中提供一个公共逻辑参考电平。务必确保所有的GND(Arduino、外设和组件)相互连接并且有共同点。 ●RESET - 复位Arduino开发板。

相关文档