文档库 最新最全的文档下载
当前位置:文档库 › FPGA试卷

FPGA试卷

FPGA试卷
FPGA试卷

浙江工业大学之江学院/学年

第学期《FPGA编程基础》期终试卷

(考试类型:教材开卷)

班级姓名学号

一、填空(21分)

1、在Quartus II软件环境下,进行电路原理图输入的操作步骤file-new-block diagram ,文件名后缀(扩展名)为bdf ;执行VHDL文本输入设计,操作步骤为file-new-whdl file ,后缀名为vhd 。

2、国际上生产可编程逻辑器件的三大主流公司是altera______、___xilinx___和____actel___。亚太地区使用较多的是哪家公司的产品?__altera___。在军用级和宇航级产品上具有较大优势的是哪家公司的产品?____actel_。

3、VHDL程序的基本结构由实体、结构体、配置、

程序包和库等部分组成。其中实体和结构体是设计实体的基本组成部分,他们可以构成最基本的VHDL程序。

4、结构体的描述方式有: 行为描述方式、数据流描述方式、结构化描述方式。其中结构化描述方式与原理图输入方式进行电路设计处于同一个层次;行为描述方式属于高层次描述方式。

二、选择:(24分)

1、大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是_____A B __。(2分)

A. FPGA是基于查找表结构的可编程逻辑器件;

B. FPGA即是现场可编程逻辑器件的英文简称;

C. 一般FPGA比CPLD价格低;

D. 在Altera公司生产的器件中,ACEX1k系列属FPGA结构

2、下列是EDA技术应用时涉及的步骤:

A. 原理图/HDL文本输入;

B. 适配;

C. 时序仿真;

D. 编程下载;

E. 硬件测试;

F. 综合

请选择合适的项构成基于EDA软件的FPGA / CPLD设计流程:(4分)

A → ____B_____ → ______F___ → _____C____ → ______D___ → E

3、可以不必声明而直接引用的数据类型是:_______。(2分)

A: STD_LOGIC B: STD_LOGIC_VECTOR

C: BIT D: ARRAY E . INTEGER

4、VHDL的进程(process)语句是由组成的,但其本身是。IF 语句属于;CASE语句属于。(4分)

A 顺序语句

B 并行语句

5、VHDL语言中的注释以_______开头:(2分)

A、—

B、_ _

C、%

D、--

6、STD_LOGIG_1164中字符H定义的是:_______。(2分)

A: 弱信号1 B: 弱信号0 C: 没有这个定义D: 初始值

7、函数CONV_STD_LOGIC_VECTOR (A,位长)可实现将INTEGER转换成

STD_LOGIC_VECTOR。该函数在哪个程序包中提供? _______。(2分)

A STD_LOGIC_1164

B STD_LOGIC_ARITH

C STD_LOGIC_UNSIGNE

D D STD_LOGIC_SIGNED

8、若S1为”1010”, S2为”0101”,下面程序执行后,outValue输出结果为:

_______。(3分)LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ex IS

PORT(S1: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

S2: IN STD_LOGIC_VECTOR(0 TO 3);

outValue: OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END ex;

ARCHITECTURE rtl OF ex IS

BEGIN

outValue(3 downto 0) <= (S1(2 DOWNTO 0) AND NOT S2(1 to 3)) & (S1(3) XOR S2(0)) ;

END rtl;

A、“0101”

B、“0100”

C、“0001”

D、“0000”

9、假设输入信号a=X“6”,b= X “E”,则以下程序执行后,c的值为:_______。

(3分)

ENTITY logic IS

PORT( a,b : IN STD_LOGIC_VECTOR(3 DOWNTO 0);

c : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END logic;

ARCHITECTURE a OF logic IS

BEGIN

c(0) <= NOT a(0);

c(2 DOWNTO 1) <= a(2 DOWNTO 1) and b(2 DOWNTO 1);

c(3) <= '1' XOR b(3) ;

c(7 DOWNTO 4) <= "1111" WHEN (a (2)= b(2)) ELSE "0000";

END a;

A “F8” B“FF” C“F7” D“0F”

三、问答题(13分):

1、依照Altera公司PLD器件的命名规则,说明器件型号EP1K30TC144-3的含义。(5分)

2、请标出下列短标示符那些是合法标示符,那些是非法标示符(8分)

my_ _counter F Sig/N F

2FFT T Decoder T

Not-Ack F ALL_RST T

IS T return_1 T

四、编程(42分):

1、用VHDL语言设计一带清零端的分频器,对输入时钟信号clk进行6分频。(10分)

2、8选1数据选择器,用VHDL写出源程序。其中:D7—D0是数据输入端,S2、S1和S0是控制输入端,Y是数据输出端。当S2、S1、S0=“000”时,D0数据被选中,输出Y=D0;当S2、S1、S0=“001”时,D1数据被选中,输出Y=D1,以次类推。(16分)

3、用VHDL语言设计“串并变换模块”,实现1路串行码输入,7路并行码输出。模块要求如下:(16分)输入时钟:clk 输出信号:dataout[6..0]

输入数字信源:m 输出信号指示端:oe,高电平有效

使能端:en,高电平有效

FPGA试卷+答案+超详细解答

电子与信息学院10应用电子技术教育2班 科目:<>(X)卷 考试形式:闭卷考试时间:100 分钟 院(系)别、班级:姓名:学号: 20XX.X.考试试卷含答题纸、试题纸、草稿纸的装订试卷不能分拆) 试题区:(试题区必须与答题区同时交回,含答题纸、试题纸、草稿纸的装订试卷不能分拆) 一、单项选择题:(20分) 1.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是___C___。 A.PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成 后,等待下一次进程启动。 B.敏感信号参数表中,不一定要列出进程中使用的所有输入信号; C.进程由说明部分、结构体部分、和敏感信号三部分组成; (进程由声明语句、顺序语句、敏感信号列表组成) D.当前进程中声明的变量不可用于其他进程。

电子与信息学院10应用电子技术教育2班 2.在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的___C___。(信号赋值符号<= ) A.idata := 32; B.idata <= 16#A0#; (十进制数为:10*16= 160,idata范围为0~127) C.idata <= 16#7#E1;(十进制数为:7*16^1= 112) D.idata := B#1010#; 3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是___C___。 A.FPGA是基于乘积项结构的可编程逻辑器件; (FPGA芯片基于查找表的可编程逻辑结构) B.FPGA是全称为复杂可编程逻辑器件; (FPGA 现场可编程逻辑门阵列,CPLD才是复杂可编程逻辑器件) C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 (MAX7000系列属CPLD结构) 4.进程中的变量赋值语句,其变量更新是___A___。 (变量(variable)是立即完成的,信号(signal)有延时) A.立即完成; B.按顺序完成; 第2页(不含草稿纸部分共18页

FPGA试卷+答案+超详细解答

20XX—20XX学年度第(X)学期期末考试试卷科目:<>(X)卷 考试形式:闭卷考试时间:100 分钟 院(系)别、班级:姓名:学号: 试题区:(试题区必须与答题区同时交回,含答题纸、试题纸、草稿纸的装订试卷不能分拆)一、单项选择题:(20分) 1.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是___C___。 A.PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后, 等待下一次进程启动。 B.敏感信号参数表中,不一定要列出进程中使用的所有输入信号; C.进程由说明部分、结构体部分、和敏感信号三部分组成; (进程由声明语句、顺序语句、敏感信号列表组成) D.当前进程中声明的变量不可用于其他进程。 2.在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的___C___。(信号赋值符号 <= ) A.idata := 32; B.idata <= 16#A0#; (十进制数为:10*16= 160,idata范围为0~127) C.idata <= 16#7#E1;(十进制数为:7*16^1= 112) D.idata := B#1010#;

3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是___C___。 A.FPGA是基于乘积项结构的可编程逻辑器件; (FPGA芯片基于查找表的可编程逻辑结构) B.FPGA是全称为复杂可编程逻辑器件; (FPGA 现场可编程逻辑门阵列,CPLD才是复杂可编程逻辑器件) C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 (MAX7000系列属CPLD结构) 4.进程中的变量赋值语句,其变量更新是___A___。 (变量(variable)是立即完成的,信号(signal)有延时) A.立即完成; B.按顺序完成; C.在进程的最后完成; D.都不对。 5.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___D___。(结构体实现实体的功能,通过对实体的逻辑功能进行描述) A.器件外部特性; B.器件的综合约束; C.器件外部特性与内部功能; D.器件的内部功能。 6.CASE语句是___A___。(case语句时顺序语句中最重要最常用的顺序语句) A. 顺序语句 B. 并行语句 C. 其它 D.组合逻辑控制电路语句 7. LIBRARY ___A___; --库的声明 USE IEEE.STD_LOGIC_1164.ALL; --库的引用,引用IEEE库中的std_logic_1164中的所有项目A. IEEE B. STD C.WORK D.ENTITY counter IS

FPGA试题

【第一章】 1、FPGA芯片的发展主要体现在哪几个方面?未来的发展趋势是什么? (1) 大容量、低电压、低功耗 (2) 系统级高密度 (3) FPGA和ASIC出现相互融合。 (4) 动态可重构 2、EDA技术的优势是什么? 缩短开发周期,有各类库的支持,简化逻辑设计,有利于设计文档的管理,能仿真测试,开发者有自主权,将所有开发环节纳入统一的自顶向下的设计中,有效的利用了计算机的自动设计能力。 3、EDA的设计流程包括哪几个环节? ①设计输入(原理图/HDL文本编辑)②综合③FPGA/CPLD 适配④时序仿真与功能仿真⑤FPGA/CPLD编程下载⑥FPGA/CPLD器件电路硬件检测。 4、硬件描述语言的种类有哪些? VHDL 、Verilog HDL、SystemVerilog、System C 等 5、自顶向下设计方法的优点是什么? 过程大部分由计算机完成,可植性强,便于系统的优化和升级,以及对模型进行及时的修改,以改进系统或子系统的功能,更正设计错误,提高目标系统的工作速度,减小面积耗用,降低功耗和成本等。在EDA

技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。高效,高稳定性,省时省力,成本较低。 6、ip核可分为哪几类? ①软IP 、②固IP、③硬IP 7、ip在EDA技术的应用和发展中的意义是什么? IP就是将某些功能固化,而当EDA设计也需要这些功能的时候,就可以直接将植入了此功能的IP拿过来直接用,而不用再重新设计。这样既可以提高效率又可以减少设计风险。IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 8、EDA的概念Electronic Design Automation,电子设计自动化。 简单一点EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言完成设计文件,然后由计算机自动地完成逻辑编译,化简,分割,综合,优化,布局,布线和仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。 9、VHDL与verilog的优缺点的对比 Verilog代码简明扼要,操作灵活方便,使用简单,有效的简化了设计过程。Vhdl语句严谨,有很好的行为级描述能力和系统级描述能力。缺点是代码过于冗长,对数据类型匹配要求过 于严格,对版图级,管子级的描述几乎不支持。 【第二章】 1、可编程逻辑器件经历哪些发展过程?

FPGA试卷+答案+超详细解答

广东技术师范学院电子与信息学院10应用电子技术教育2班 20XX—20XX学年度第(X)学期期末考试试卷科目:<>(X)卷 考试形式:闭卷考试时间:100 分钟 院(系)别、班级:姓名:学号: 试题区:(试题区必须与答题区同时交回,含答题纸、试题纸、草稿纸的装订试卷不能分拆) 一、单项选择题:(20分) 1.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是___C___。 A.PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后, 等待下一次进程启动。 B.敏感信号参数表中,不一定要列出进程中使用的所有输入信号; C.进程由说明部分、结构体部分、和敏感信号三部分组成; (进程由声明语句、顺序语句、敏感信号列表组成) D.当前进程中声明的变量不可用于其他进程。 2.在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的___C___。(信号赋值符号 <= ) A.idata := 32; B.idata <= 16#A0#; (十进制数为:10*16= 160,idata范围为0~127) C.idata <= 16#7#E1;(十进制数为:7*16^1= 112) D.idata := B#1010#;

3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是___C___。 A.FPGA是基于乘积项结构的可编程逻辑器件; (FPGA芯片基于查找表的可编程逻辑结构) B.FPGA是全称为复杂可编程逻辑器件; (FPGA 现场可编程逻辑门阵列,CPLD才是复杂可编程逻辑器件) C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 (MAX7000系列属CPLD结构) 4.进程中的变量赋值语句,其变量更新是___A___。 (变量(variable)是立即完成的,信号(signal)有延时) A.立即完成; B.按顺序完成; C.在进程的最后完成; D.都不对。 5.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___D___。(结构体实现实体的功能,通过对实体的逻辑功能进行描述) A.器件外部特性; B.器件的综合约束; C.器件外部特性与内部功能; D.器件的内部功能。 6.CASE语句是___A___。(case语句时顺序语句中最重要最常用的顺序语句) A. 顺序语句 B. 并行语句 C. 其它 D.组合逻辑控制电路语句 7.LIBRARY ___A___; --库的声明 USE IEEE.STD_LOGIC_1164.ALL; --库的引用,引用IEEE库中的std_logic_1164中的所有项目A. IEEE B. STD C.WORK D.ENTITY counter IS

EDA(FPGA)期末考试试题

这是长期总结的EDA期末考试试题 试题一 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。 (3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。 (4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 2-1 叙述EDA的FPGA/CPLD设计流程。 P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么? 答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。 P34~36 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。 说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。 3-2 什么是基于乘积项的可编程逻辑结构? P33~34,40 答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。 3-3 什么是基于查找表的可编程逻辑结构? P40~41 答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。 3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56 答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。 4-3. 图3-31所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'和'1'时,分别有y<='a'和y<='b'。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX221 IS PORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入信号 s0,s1:IN STD_LOGIC; outy:OUT STD_LOGIC);--输出端 END ENTITY; ARCHITECTURE ONE OF MUX221 IS SIGNAL tmp : STD_LOGIC; BEGIN

FPGA笔试题及答案总结

第 1 章 FPGA基础知识 1.1 FPGA设计工程师努力的方向 SOPC,高速串行I/O,低功耗,可靠性,可测试性和设计验证流程的优化等方面。随着芯片工艺的提高,芯片容量、集成度都在增加,FPGA 设计也朝着高速、高度集成、低功耗、高可靠性、高可测、可验证性发展。芯片可测、可验证,正在成为复杂设计所必备的条件,尽量在上板之前查出bug,将发现bug的时间提前,这也是一些公司花大力气设计仿真平台的原因。另外随着单板功能的提高、成本的压力,低功耗也逐渐进入FPGA设计者的考虑范围,完成相同的功能下,考虑如何能够使芯片的功耗最低。高速串行IO的应用,也丰富了FPGA 的应用范围,象xilinx的v2pro中的高速链路也逐渐被应用。总之,学无止境,当掌握一定概念、方法之后,就要开始考虑FPGA其它方面的问题了。 1.2 简述FPGA等可编程逻辑器件设计流程 系统设计电路构思,设计说明与设计划分,电路设计与输入(HDL代码、原理图),功能仿真与测试,逻辑综合,门级综合,逻辑验证与测试(综合后仿真),布局布线,时序仿真,板级验证与仿真,加载配置,在线调试。常用开发工具(Altera FPGA) HDL语言输入:Text Editor(HDL语言输入),还可以使用Ultra Edit 原理图输入:Schematic Editor IP Core输入:MegaWinzad 综合工具:Synplify/Synplify Pro,Qaustus II内嵌综合工具仿真工具:

ModelSim 实现与优化工具:Quartus II集成的实现工具有Assignment Editor(约束编辑器)、LogicLock(逻辑锁定工具)、PowerFit Fitter(布局布线器)、Timing Analyzer(时序分析器,STA分析工具)、Floorplan Editor(布局规划器)、Chip Editor(底层编辑器)、Design Space Explorer(设计空间管理器)、Design Assistant(检查设计可靠性)等。后端辅助工具:Assembler(编程文件生成工具),Programmer(下载编程工具),PowerGauge(功耗仿真器) 调试工具:SignalTap II(在线逻辑分析仪),SignalProbe(信号探针)。系统级设计环境:SOPC Builder,DSP Builder,Software Builder。 1.3 Quartus文件管理 1. 编译必需的文件:设计文件(.gdf、.bdf、EDIF输入文件、.tdf、verilog 设计文件、.vqm、.vt、VHDL设计文件、. vht)、存储器初始化文件(.mif、.rif、.hex)、配置文件(.qsf、.tcl)、工程文件(.qpf)。 2. 编译过程中生成的中间文件(.eqn文件和db目录下的所有文件.tdf,.hdb,.xml等) 3. 编译结束后生成的报告文件(.rpt、.qsmg 等) 4. 根据个人使用习惯生成的界面配置文件(.qws等) 5. 编程文件(.sof、.pof、.ttf等) 1.4 IC设计流程

FPGA试卷+答案+超详细解答

F P G A试卷+答案+超详 细解答 -CAL-FENGHAI.-(YICAI)-Company One1

广东技术师范学院 20XX—20XX学年度第(X)学期期末考试试卷 科目:<>(X)卷 考试形式:闭卷 考试时间:100 分钟 院(系)别、班级: 姓名: 学号: 电子与信息学院 20XX考试试卷() 试题区:(试题区必须与答题区同时交回,含答题纸、试题纸、草稿纸的装订试卷 不能分拆) 一、单项选择题:(20分) 1.在VHDL 语言中,下列对进程(PROCESS )语句的语句结构及语法规则的描述中,不正确的是___C ___。 A. PROCESS 为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。 B. 敏感信号参数表中,不一定要列出进程中使用的所有输入信号; C. 进程由说明部分、结构体部分、和敏感信号三部分组成; (进程由声明语句、顺序语句、敏感信号列表组成) D. 当前进程中声明的变量不可用于其他进程。

2.在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的___C___。(信号赋值符号 <= ) A.idata := 32; B.idata <= 16#A0#; (十进制数为:10*16= 160,idata范围为0~127) C.idata <= 16#7#E1;(十进制数为:7*16^1= 112) D.idata := B#1010#; 3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是___C___。 A.FPGA是基于乘积项结构的可编程逻辑器件; (FPGA芯片基于查找表的可编程逻辑结构) B.FPGA是全称为复杂可编程逻辑器件; (FPGA 现场可编程逻辑门阵列,CPLD才是复杂可编程逻辑器件) C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 (MAX7000系列属CPLD结构) 4.进程中的变量赋值语句,其变量更新是___A___。 (变量(variable)是立即完成的,信号(signal)有延时) A.立即完成; B.按顺序完成; C.在进程的最后完成; D.都不对。

fpga及其应用试卷

一、填空与选择(共25分,每空1分) (1) VHDL的复合类型主要包括:和两种类型。 (2) 电路设计方法有平坦式设计、自底向上、等方法。 (3) VHDL的并行信号赋值语句,除了常见的一般信号赋值语句(如:C<=A;)外,还 有两种形式,它们分别是,。 ①Case-when ②with-select ③when-else ④report (4)在以下数据类型中,VHDL综合器支持的是 ①STRING ②TIME ③REAL ④BIT ⑤ACCESS (5)半定制法按逻辑实现的方式不同,可再分为、和可编程逻辑器件法 ①门阵列法②标准单元法③紫外线擦除法④乘积项法⑤电擦除法 (6)vhdl表示的数8#21#和16#11#E1,如果用十进制表示应该是 和。 (7)下面表达式中,错误的有、、。 ①h <= i NAND j NAND k; ②h <=i AND j AND k; ③X<=5**3; ④X<=4**0.5; ⑤l<=m xor n and o xor p; (8)在VHDL中,条件信号赋值语句WHEN—ELSE属于语句。 ①顺序兼并行②顺序③并行④任意 (9)下面数据类型中哪些不是标准程序包STANDARD中预定义的类型 、。 ①Time ②Std_logic_vector ③string ④unsigned ⑤INTEGER (10) VHDL的IEEE标准库中,数据类型STD_LOGIC有种逻辑值。 ①2 ②3 ③8 ④9 (11)下面VHDL标识符中非法的标识符是、、。 ①Ld ②Out1 ③sig_n ④_X56 ⑤7S ⑥&4 (12)在VHDL的端口类型有IN,、、四种。 (13)若信号byte的数据类型为bit_vector(7 downto 0),则byte’length的值为 (14) 在EDA工具中,能完成在目标系统器件上布局布线软件称 ①仿真器②综合器③适配器④下载器 二、判断下面的说明是否正确,在正确的后面打“√”,不正确的打“X”(共10分,每题1分) (1)with-select语句可在函数和过程中使用( ) (2)FPGA是基于查找表的可编程逻辑结构( ) (3)给定的信号A_SIG是“布尔”型的,所赋之值“是”和“否”分别与逻辑值‘1’和‘0’对应。因此,VHDL语句:A_SIG <= 0是有效的( ) (4)综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的( ) (5)vhdl中具有不同数据类型的信号可以相互赋值( ) (6)进程、过程和函数中都可以使用wait语句( ) (7)在VHDL中只有并行语句才能被综合,而顺序语句只能用于仿真建模( ) (8)数组中的所有元素必须是同一类型的( ) (9)VHDL的扩展标识符必须以字母开头( ) (10)综合后,report语句生成的硬件电路在运行时报告各种信息( )

EDA(FPGA)期末考试试题

EDA(FPGA)期末考试试题

这是长期总结的EDA期末考试试题 试题一 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。 (3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。 (4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 2-1 叙述EDA的FPGA/CPLD设计流程。 P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么? 答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。 P34~36 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。 说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。 3-2 什么是基于乘积项的可编程逻辑结构? P33~34,40 答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。 3-3 什么是基于查找表的可编程逻辑结构? P40~41 答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。 3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56 答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。 4-3. 图3-31所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'和'1'时,分别有y<='a'和y<='b'。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX221 IS PORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入信号 s0,s1:IN STD_LOGIC; outy:OUT STD_LOGIC);--输出端 END ENTITY; ARCHITECTURE ONE OF MUX221 IS

FPGAVerilog试题(西安电子科技大学)

西安电子科技大学 考试时间分钟 试题 题号一二三四五六七八九十总分分数 1.考试形式:闭(开)卷; 2.本试卷共四大题,满分100分。 班级学号姓名任课教师 一、选择题(每题2分,共18分) 1. 下面哪个是可以用verilog语言进行描述,而不能用VHDL语言进行描述的级别?( A ) (A) 开关级 (B)门电路级 (C) 体系结构级 (D) 寄存器传输级 2.在verilog中,下列语句哪个不是分支语句?( D ) (A) if-else (B) case (C) casez (D) repeat 3.下列哪些Verilog的基本门级元件是多输出( D ) (A) nand (B) nor (C) and (D) not 4.Verilog连线类型的驱动强度说明被省略时,则默认的输出驱动强度为( B ) (A) supply (B) strong (C) pull (D) weak 5.元件实例语句“notif1 #(1:3:4,2:3:4,1:2:4) U1(out,in,ctrl);”中截至延迟的典型值为( B ) (A) 1 (B) 2 (C) 3 (D) 4 6.已知“a =1b’1; b=3b'001;”那么{a,b}=( C ) (A) 4b'0011 (B) 3b'001 (C) 4b'1001 (D) 3b'101 第 2 页共 8 页 7.根据调用子模块的不同抽象级别,模块的结构描述可以分为(ABC ) (A) 模块级 (B)门级 (C) 开关级 (D) 寄存器级 8.在verilog语言中,a=4b'1011,那么 &a=(D ) (A) 4b'1011 (B) 4b'1111 (C) 1b'1 (D) 1b'0 9.在verilog语言中整型数据与( C )位寄存器数据在实际意义上是相同的。 (A) 8 (B) 16 (C) 32 (D) 64

FPGA期末考试题目,资料整理

四、简答题 1.简述EDA技术的发展历程? 2.什么是EDA技术? 3.在EDA技术中,什么是自顶向下的设计方法? 4.自顶向下的设计方法有什么重要意义? 5.简要说明目前现代数字系统的发展趋势是什么? 6.简述现代数字系统设计流程。7.简述原理图设计法设计流程。8.简述原理图设计法设计方法的优缺点。 9.什么是综合?综合的步骤是什么?10.什么是基于平台的设计?现有平台分为哪几个类型? 11.目前,目前数字专用集成电路的设计主要采用三种方式?各有什么特点? 12.什么是SOC技术含义是什么?什么是SOPC? 13.SOPC技术含义是什么?SOPC技术和SOC技术的区别是什么?14.SOPC技术是指什么?SOPC的技术优势是什么? 15.简要说明一下功能仿真和时序仿真的异同。设计过程中如果只做功能仿真,不做时序仿真,设计的正确性是否能得到保证? 16.综合完成的主要工作是什么?实现(Implement)完成的主要工作是什么? 17.主要的HDL语言是哪两种?Verilog HDL 语言的特点是什么? 18.简述阻塞赋值与非阻塞赋值的不同。 19.简述过程赋值和连续赋值的区别。20.什么叫做IP核?IP在设计中的作用是什么? 21.什么是IP软核,它的特点是什么?22.根据有效形式将IP分为哪几类?根据功能方面的划分分为哪两类?23.比较基于查找表的FPGA和CPLD 系统结构和性能上有何不同? 24.什么是数据流级建模?什么是行为级建模? 25.timescale指令的作用是什么。26.采用HDL完成设计后,必须应用测试程序(testbench)对设计的正确性进行验证。测 27.什么是FPGA,CPLD?他们分别是基于什么结构的可编程逻辑结构?28.CPLD是基于什么结构的可编程逻辑器件?其基本结构由哪几部分组成。29.FPGA是于什么结构的可编程逻辑器件?其基本结构由哪几部分组成。30.PLD器件按照编程方式不同,可以分为哪几类? 31.解释编程与配置这两个概念。32.说明FPGA配置有哪些模式,主动配置和从动配置的主要区别是什么?33.为什么在FPGA构成的数字系统中要配备一个PROM或E2PROM?1.答:(1)二十世纪70年代,产生了第一代EDA工具。 (2)到了80年代,为了适应电子产品在规模和制作上的需要,应运出现了以计算机仿真和自动布线为核心技术的第二代EDA技术。 (3)90年代后,随着科学技术的发展,出现了以高级语言描述、系统级仿真和综合技术为特征的第三代EDA技术。2.答:EDA技术就是以计算机为工具,设计者在EDA软件平台上,对系统功能进行描述 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 3.答:自顶向下首先从系统设计入手,在顶层进行功能划分和结构设计,并在系统级采用仿真手段验证设计的正确性,然后再逐级设计低层的结构,实现从设计、仿真、测试一体化。其方案的验证与设计、电路与PCB设计专用集成电路设计等都由电子系统设计师借助于EDA工具完成。 4.答:(1)基于PLD硬件和EDA工具支撑;(2)采用逐级仿真技术,以便及 早发现问题修改设计方案;(3)基于网 上设计技术使全球设计者设计成果共 享,设计成果的再利用得到保证。(4) 复杂系统的设计规模和效率大幅度提 高。(5)在选择器件的类型、规模、硬 件结构等方面具有更大的自由度。 5.答:(1)电子设计最优化(EDO); (2)在线可“重构”技术。 6.答:设计准备、设计输入、设计处 理、器件编程以及相应的功能仿真、时 序仿真和器件测试三个设计验证过程。 7.答:具体设计流程包括设计输入、 功能仿真、综合、综合后仿真、约束设 置、实现、布局布线后仿真、生成配置 文件与配置FPGA 8.答:主要优点是容易实现仿真,便 于信号的观察和电路的调整。原理图设 计方法直观、易学。但当系统功能较复 杂时,原理图输入方式效率低,它适应 于不太复杂的小系统和复杂系统的综 合设计。 9.答:将硬件描述语言转化成硬件电 路的过程叫综合。综合主要有三个步 骤:转化,优化,映射。 10.答:基于平台的设计方法是近几年 提出的SOC软硬件协同设计新方法, 是基于块的设计BBD方法的延伸,它 扩展了设计重用的理念,强调系统级复 用,包含了时序驱动的设计和BBD的 各种技术,支持软硬件协同设计,提供 系统级的算法和结构分析。 现有的设计平台分为四类:完整的应用 平台;以处理器为中心的平台;以片内 通信构造为中心的平台;完整的可编程 平台。 11.答:(1)全定制设计或基于标准单 元的设计。所有的工艺掩模都需要从头 设计,可以最大限度地实现电路性能的 优化。然而,由于其设计周期很长,设 计时间和成本非常高,市场风险也非常 大。 (2)半定制设计或基于标准门阵列的 设计。采用标准门阵列进行初步设计, 待设计通过验证后,再对各局部功能单 元进行优化 (3)基于可编程逻辑器件PLD的设计。 PLD的设计不需要制作任何掩模,基本 不考虑布局布线问题,设计成本低,设 计周期短,设计的风险低。 12.答:SOC就是将微处理器、模拟IP 核、数字IP核和存储器(或片外存储控 制接口) 、数据通路、与外部系统的数 据接口等部件集成在单一芯片上。 SOPC就是基于可编程逻辑器件的SOC 设计方案 13.答:SOPC技术是以可编程逻辑器 件PLD取代ASIC,更加灵活、高效的技 术SOC解决方案。SSOPC与SOC的区 别就是FPGA与ASIC的区别。SOPC是 SOC发展的新阶段,代表了当今电子设 计的发展方向。其基本特征是设计人员 采用自顶向下的设计方法,对整个系统 进行方案设计和功能划分,最后系统的 核心电路在可编程器件上实现。 14.答:SOPC技术是以可编程逻辑器 件PLD取代ASIC,更加灵活、高效的技 术SOC解决方案。SOPC的技术优势: (1)运用嵌入的微处理器软核;(2) 采用先进的EDA开发工具;(3)由于 连接延迟时间的缩短,SOPC可以提供 增强的性能,而且由于封装体积的减 小,产品尺寸也减小。 15.答:仿功能仿真用于验证设计的逻 辑功能。它是在设计输入完成之后,选 择具体器件进行编译之前进行的逻辑 功能验证,不包含延时信息。时序仿 真是在选择了具体器件并完成布局、布 线之后进行的快速时序检验,并可对设 计性能作整体上的分析。由于不同器件 的内部延时不一样,不同的布局、布线 方案会给延时造成不同的影响。 只做功能仿真,不做时序仿真,设 计的正确性是不能得到保证。 16.答:综合的主要工作将硬件描述语 言转化成硬件电路。实现(Implement) 是指将综合输出的逻辑网表翻译成所 选器件的底层模块与硬件原语,将设计 映射到器件结构上,进行布局布线,达 到在选定器件上实现设计的目的 17.答:VHDL和Verilog HDL。Verilog HDL 语言允许用户在不同的抽象层次上对 电路进行建模,底层描述能力较强。 18.答:阻塞赋值:=;必须是阻塞赋 值完成后,才进行下一条语句的执行; 赋值一旦完成,等号左边的变量值立刻 发生变化 非阻塞赋值<=,非阻塞赋值在赋值 开始时计算表达式右边的值,到了本次 仿真周期结束时才更新被赋值变量(即 赋值不立刻生效);非阻塞赋值允许块 中其他语句的同时执行。在同一个顺序 块中,非阻塞赋值表达式的书写顺序, 不影响赋值的结果。 19.答:过程赋值和连续赋值的区别: 过程赋值连续赋值 无关键字(过程连续赋值除外) 关键字assign 用“= ”和“<=”赋值只能用“=” 赋值 只能出现initial和always语句中不能 出现initial和always语句中 用于驱动寄存器用于驱动网线 20.答:IP是指知识产权芯核。IP核是 可以完成特定电路功能的模块,在设计 电路时可以将IP核看做黑匣子,只需 保证IP模块与外部电路的接口,无需 关心其内部操作。利用IP核还可以使 设计师不必了解设计芯片所需要的所 有技术,降低了芯片设计的技术难度。 IP核与工业产品不同,调用IP核能避 免重复劳动,大大减轻工程师的负担, 且复制IP核是不需要花费任何代价的。 21.答:软核是以可综合的寄存器传输 级(RTL)描述或通用库元件的网表形 式提供的可重用的IP模块。特点:软 核的使用者要负责实际的实现和布图, 它的优势是对工艺技术的适应性很强, 方便地移植。由于软核设计以高层次表 示,因而软IP易于重定目标和重配置, 然而预测软IP的时序、面积与功率诸 方面的性能较困难。 22.答:有效形式分:软核、固核和硬 核。功能划分:嵌入式IP核与通用IP 模块。 23.答:FPGA和CPLD系统结构比较: 性能指标CPLD FPGA 集成规模小(万门)大(百万门) 逻辑单元大(PAL结构)小 (PROM)结构 互连方式集总总线分段总线、 专用互连 编程工艺EPROM、E2ROM、FLASH SRAM 编程类型ROM、信息固定RAM、 可实时重构 性能:逻辑电路在中小规模范围内,选 用CPLD价格较便宜,能直接用于系统。 各系统的CPLD器件的逻辑规模覆盖面 属中小规模,器件有很宽的可选范围, 上市速度快,市场风险小。对于大规模 的逻辑电路设计,则多采用FPGA。因 为从逻辑规模上讲,FPGA覆盖了大中 规模范围。 24.答:数据流级建模是描述数据在寄 存器之间流动和处理的过程。行为级 建模在更高层次对系统功能和数据流 进行描述。 25.答:在Verilog HDL 模型中,所有 时延都用单位时间表述。使用 `timescale编译器指令将单位时间与 实际时间相关联。用于定义仿真时间、 延迟时间的单位和时延精度。 26.答:(1)产生模拟激励(波形);(2) 将模拟的输入激励加入到被测试模块 端口并观测其输出响应;(3)将被测模 块的输出与期望值进行比较,验证设计 的正确与否。 27.答:FPGA是现场可编程门阵列, CPLD中文全称是复杂可编程逻辑器 件。其中CPLD是基于乘积项的可编程 逻辑结构,FPGA是基于查找表的可编 程逻辑结构。 28.答:CPLD是基于乘积项的可编程 结构,基本构成:逻辑阵列块LAB、宏 单元、扩展乘积项、可编程连线阵列、 I/O控制器。 29.答:FPGA是基于SRAM查找表的 可编程结构。FPGA的核心部分是逻辑 单元阵列LCA,LCA是由内部逻辑块矩 阵和周围I/O接口模块组成。LCA内部 连线在逻辑块的行列之间,占据逻辑块 I/O接口模块之间的通道,可以由可编 程开关以任意方式连接形成逻辑单元 之间的互连。 30.答:PLD器件按照编程方式不同, 可以分为熔丝(Fuse)或反熔丝开关、浮 栅编程技术、SRAM配置存储器 31.答:基于电可擦除存储单元的 EEPROM或Flash 技术的CPLD 的在系 统下载称为编程(Program);编程过程就 是把编程数据写入E2CMOS单元阵列 的过程。而把基于SRAM查找表结构的 FPGA 的在系统下载称为配置 (Configure)。 32.答:分为:从动串行模式、从动并 行模式、主动串行、主动并行、JTAG 模式。 主动配置由可编程器件引导配置过程, 从动配置则由外部处理器控制配置过 程。 33.答:因为常用的FPGA的结构是 基于SRAM的,掉电后芯片内的信息 将消失,所以配备一个PROM或 E2PROM,使得上电后,FPGA的信息由 外部加载到芯片中,使得FPGA成为用 户需要功能的芯片。 七、程序分析与设计 1.设计7人投票表决器,当大于等于4 票时输出为1,否则为0。 2.试描述一个具有循环左移和循环右 移功能的8位串入并出移位寄存器。 3.试描述一个能实现2倍分频功能的模 块。 4. 试描述一个异步复位、二十进制的减 法计数器。 5. 试描述一个带进位输入、输出的 4位全加器,其中端口:A、B为加数, CIN为进位输入,S为加和,COUT为进 位输出。 6.试描述一个同步置数、同步清零的8 位加法计数器 7.分别用持续赋值和阻塞赋值方式描 述的2选1多路选择器。 8.用阻塞赋值方式描述移位寄存器。 9.用for语句实现2个位数相乘。 10.试描述8-3优先编码器。 11.试描述一个异步清0、异步置1的D 触发器。 12.试描述一个4位并串转换器。 13.设计一个序列检测器,用于检测串行 的二进制序列,每当连续输入三个或三 个以上的1时,序列检测器的输出为1, 其它情况下输出为0。 (1)画出状态图 (2)写出实现程序。 14.设计一个状态机实现在时钟clk的控 制下检测输入的串行数据是否为 “110”,画出状态转移图,并写出设计 实现程序。要求:当串行数据是“101” 时,flag_out =1,否则flag_out =0。 15.下图是一个含有下降沿触发的D触 发器的时序电路,试写出此电路的 VerilogHDL设计程序。 16根据以下原理图写出相应的Verilog 程序 1.7人投票表决器:。 module voter7(pass,vote); output pass; input[6:0] vote; reg[2:0] sum; integer i; reg pass; always@(vote) begin sum=0; for(i=0;i<=6;i=i+1) if(vote[i]) sum=sum+1; if(sum>4) pass=1; else pass=0; end

相关文档