文档库 最新最全的文档下载
当前位置:文档库 › DRV91680RGZR_无刷电动工具驱动_核心MSP430

DRV91680RGZR_无刷电动工具驱动_核心MSP430

DRV91680RGZR_无刷电动工具驱动_核心MSP430
DRV91680RGZR_无刷电动工具驱动_核心MSP430

DRV91680

SLDS196A–MARCH2014–REVISED NOVEMBER2014 DRV91680Integrated Motor Controller and Predriver

1Features2Applications

?Operating Voltage Range8.0V to26V?3Phase BLDC Motors or PMSM controls ?Analog Peripheral Features?Remote Controlled Semi-Professional Toys

?Low Noise Industrial Fans and Pumps –6Independent Integrated Pre-drivers

?Power Tools

–16Channel10-bit ADC

– 2.4V ADC Reference For External Use

3Description

–10-bit DAC with1.2V/2.4V Full Scale for Over

TI’s DRV91680integrated motor controller and Current(OC)Control

predriver is a26V single supply with6internal –25MHz Serial Interface Between CPU and regulators,three47V high side floating predrivers, Analog Peripherals three7V low side predrivers and three internal

Charge Pumps(CP).Suitable applications for this –USCI–SPI/UART for External

device are Brushed(BDC)or Brushless(BLDC) Communication

motor systems.This product also allows an external –28GPIO Ports

fail safe protection scheme to prevent accidental –22Low Voltage(3.3V)GPIOs runoff of the motor.The built–in protection functions

include over-voltage,over-current,under-voltage.

–6Medium Voltage(7V)GPIOs

–Controls Motor Speed with Digital PWM or The six PWMs independently allow control of the high Analog linear Input and low side of the external Power FETs.The

controller can be configured to operate in Half-–Supports Digital Hall Sensor and Sensorless

Bridge(HB),Full-Bridge(FB)and3Phase mode.The Mode of Operation

Predrivers’sink and source capability gives fast Turn –3Dedicated Comparators for BEMF Sensing On/Turn-Off at20KHZ to the external FETs with –Internal Center Tap(CT)Voltage Generation Gate charges up to133nC.

–Programmable Differential Sense Amp(DSA)The integrated analog peripheral system is combined

with a16-bit RISC microcontroller,and assembled as –750KHz Bandwidth

a multi-chip module(MCM)with form factor of48-pin

–DC Shunt Resistor Configuration

RGZ package and PowerPAD?heat sink.32KB of –Power FET Rdson Sensing Configuration single-cycle Flash memory is embedded with the –3Charge Pumps to Support100%Duty Cycle digital microcontroller sub-system.The16-bit

microcontroller is instruction set compatible with TI’s –Programmable Over Current(OC)Protection

MSP430F5438family.

–Over Temperature(OT)Protection

–POR/BOR/UVLO Monitors Device Information ?Microcontroller Features:

ORDER NUMBER PACKAGE BODY SIZE –16-Bit RISC Architecture

DRV91680RGZT VQFN(48)7.00mm x7.00mm –Up To25MIPS

–High Endurance32KB FLASH

–25MHz Single Cycle Access

–2KB SRAM

–Spi-Bi-Wire for Device Programming

–Dead Time Generator

–Code Protection Lockout

An IMPORTANT NOTICE at the end of this data sheet addresses availability,warranty,changes,use in safety-critical applications, intellectual property matters and other important disclaimers.PRODUCTION DATA.

DRV91680

SLDS196A–MARCH2014–REVISED https://www.wendangku.net/doc/6816736549.html,

Table of Contents

(USCI) (24)

1Features (1)

7.7DRV91680Inter Die Serial Protocol (25)

2Applications (1)

7.8Analog SPI Registers and Definitions (31)

3Description (1)

7.9Voltage Regulators (38)

4Revision History (2)

7.10Power Fault Reset And Voltage Monitor (39)

5Terminal Configuration and Functions (3)

7.11Internal Oscillator (41)

6Specifications (5)

7.12Bandgap Voltage (42)

6.1Absolute Maximum Ratings (5)

7.13General Purpose I/O(GPIO) (43)

6.2Handling Ratings (6)

7.14DAC/ADCREFout (46)

6.3Recommended Operating Conditions (6)

7.15Motor Speed Control (48)

6.4Thermal Information (6)

7.16Sleep/Wake (48)

6.5Stand-by Mode (6)

7.17Hall Sensor/BEMF Description (49)

6.6DC Characteristics (7)

7.18Current Sense and Over-Current(OC)Detection.51

6.7Electrical Characteristics (8)

7.19Analog-to-Digital Converter (58)

6.8Electrical Characteristics (8)

7.20Integrated Predrivers (64)

6.9USCI(SPI Master Mode)-Electrical

7.21Revision Register (69)

Characteristics (9)

7.22Recommended External Components (69)

7Detailed Description (10)

8Device and Documentation Support (70)

7.1Overview (10)

8.1Trademarks (70)

7.2Functional Block Diagram (10)

8.2Electrostatic Discharge Caution (70)

7.3Feature Description (12)

8.3Glossary (70)

7.4Microcontroller Operating Modes (13)

9Mechanical,Packaging,and Orderable

7.5FLASH Memory (16)

Information (70)

7.6Universal Serial Communication Interface

4Revision History

Changes from Original(March2014)to Revision A Page ?Updated Absolute Maximum Ratings table (5)

2Submit Documentation Feedback Copyright?2014,Texas Instruments Incorporated

D C 3/G P I O 3/D A C /A D C R

E F

3P 3D (D V C C )

G N D

1.7/T A 4.2

1.2/T A 3.2

V 7P G N D V 3P 3A V C C P 3.5/U C A 0S I M O /U C A 0T X D P 3.6/U C A 0S O M I /U C A 0R X V 7P D R V A D C 0/G P I O 0/U S A D C 1/G P I O 1/V S A D C 2/G P I O 2/W S D C 4/G P I O 4/S Y S R S T B

D C 5/G P I O 5/H S 3/C O M M O N

D C 6/G P I O 6/H S 2

D C 7/G P I O 7/H S 1

7A

C S N /A

D C C S P /A D C 9W M I N /W A K

E /A D C 102.7/T B 0C L K

DRV91680

https://www.wendangku.net/doc/6816736549.html,

SLDS196A –MARCH 2014–REVISED NOVEMBER 2014

5Terminal Configuration and Functions

RGZ PACKAGE

48-PIN

(TOP VIEW –with Thermal pad shown in dotted lines)

NOTE:For 48-pin RGZ(QFN)package dimensions,see the mechanical drawing at the end of this document or visit

https://www.wendangku.net/doc/6816736549.html, .See TI Technical Briefs SLUA271for more information about using the QFN thermal pad.

Terminal Functions

PIN

TYPE

DESCRIPTION

(1)

https://www.wendangku.net/doc/6816736549.html,

(2)

1P4.5/TA2CLK/SMCLK DI/DO GPIO 4.5/Timer A2Clock/SM clock 2P4.6/TA3CLK/MCLK

I/O GPIO 4.6/Timer A3Clock/M clock

3VDD P 1.9V digital core voltage (Not to be used as LDO output)4P4.1/TA1CLK I/O GPIO 4.1,GPIO with interrupt capability/Timer1_A2clock input 5P4.0/TA0CLK I/O GPIO 4.0,GPIO with interrupt capability/Timer0_A2clock input 6P2.0/TB0.0I/O GPIO 2.0/Timer B0Capture

7P1.4/TA2.0I/O GPIO 1.4/Timer A2Capture or timer out bit 8P1.5/TA3.0I/O GPIO 1.5/Timer A3Capture or timer out bit 9P1.6/TA4.0I/O GPIO 1.6/Timer A4Capture or timer out bit 10P3.4/UCA0CLK I/O GPIO 3.4/SPI clock/SPI test

11NMI/SBWTDIO I/O SPI-bi-Wire Data/Non-maskable Interrupt 12TEST/SBWTCK I Test pin/SPI-bi-Wire Clock

13P3.5/UCA0SIMO/UCA0TXD I/O GPIO 3.5/SPI Slave In Master Out/UART TX 14P3.6/UCA0S0MI/UCA0RXD

I/O GPIO 3.6/SPI Slave Out Master In/UART RX

15GND G Ground;internally Analog ,Digital and Thermal Pad is connected to GND.16ADC0/GPIO0/US

I/O

ADC0input/GPIO0/BEMF U phase comparator input

(1)I:Input,O:Output,P:Power,G:Ground,I/O:Input /Output (2)

Naming convention on digital pins is consistent with MSP430.

Copyright ?2014,Texas Instruments Incorporated

Submit Documentation Feedback

3

DRV91680

SLDS196A–MARCH2014–REVISED https://www.wendangku.net/doc/6816736549.html,

Terminal Functions(continued)

PIN TYPE

DESCRIPTION

(1)

https://www.wendangku.net/doc/6816736549.html,(2)

17ADC1/GPIO11/VS I/O ADC1input/GPIO1/BEMF V phase comparator input

18ADC2/GPIO2/WS I/O ADC2input/GPIO2/BEMF W phase comparator input

19V3P3A P 3.3V LDO analog supply voltage(Not to be used as LDO output)

20CSN/ADC8I Differential sense amplifier inverting input/ADC8input

21CSP/ADC9I Differential sense amplifier non-inverting input/ADC9input

22VCC P Input supply voltage[8V to26V]

23V7P P Programmable6.8V LDO supply for the predriver outputs

Allows hookup of external regulator(7.5V max)for low-side Predriver.If external 24V7PDRV P

regulator,program V7PDis bit.If not used,short PIN23(V7P)to PIN24(V7PDRV).

25WHSD O W High side driver/(medium voltage GPO)

26WBOOT P W high voltage boot strapped bus

27WPHASE O W Phase Node

28WLSD O W Low side driver

29VHSD O V High side driver/(medium voltage GPO)

30VBOOT P V high voltage boot strapped bus

31VPHASE O V Phase node

32VLSD O V Low side driver

33UHSD O U High side driver

34UBOOT P U high voltage boot strapped bus

35UPHASE O U Phase node

36ULSD O U Lowside driver

37PGND G PreDriver Ground(prone to being noisy);All powerFET’s currents go to PGND 38PWMIN/WAKE/ADC10I Speed control/External wake up signal input.PIN38is protected by a30V ESD at the

input.The30V nMOS FET switches on PWMIN and ADC10path will not allow

voltage>3.3V.The nMOS FET behaves as an active clamp.

39ADC3/GPIO3/DAC/ADCREF I/O ADC3input/GPIO3/DAC/ADCREF output

40ADC4/GPIO4/SYSRSTB I/O ADC4input/GPIO4/Analog and Digital reset pin

41V7A P 6.8V internal LDO

I/O ADC5input/GPIO5/Digital Hall sensor3input/reference phase comparator.In 42ADC5/GPIO5/HS3/COMMON

sensorless mode,this pin is reserved and cannot be used as ADC or GPIO or HS3.

43ADC6/GPIO6/HS2I/O ADC6input/GPIO6/Digital Hall sensor2input

44ADC7/GPIO7/HS1I/O ADC7input/GPIO7/Digital Hall sensor1input

45V3P3D(DVcc)P 3.3V LDO digital supply voltage

46P1.2/TA3.2I/O GPIO1.2/Timer A3capture

47P1.7/TA4.2I/O GPIO1.7/Timer A4capture

48P2.7/TB0CLK I/O GPIO2.7/Timer B clock

Internally the Thermal Pad is connect to GND–Thermal vias should connect Thermal

Pad to a large copper area on an internal or bottom layer for the best thermal

performance.The Thermal Pad must be soldered to the PCB for mechanical

reliability.

—Thermal PAD—

GND and PGND–should be star point for both grounds with minimum internal

resistive connection to GND and PGND.GND and PGND can be connected at the

Thermal Pad which can be used as the central ground connection or star ground for

the DRV91680.

4Submit Documentation Feedback Copyright?2014,Texas Instruments Incorporated

DRV91680 https://www.wendangku.net/doc/6816736549.html, SLDS196A–MARCH2014–REVISED NOVEMBER2014

6Specifications

6.1Absolute Maximum Ratings

over operating free-air temperature range(unless otherwise noted)(1)

MIN MAX UNIT UBOOT,VBOOT,WBOOT,UHSD,VHSD,WHSD47.7V VCC,PWMIN/WAKE/ADC1028V UPHASE,VPHASE,WPHASE positive voltage40v UPHASE,VPHASE,WPHASE negative voltage–2V VBOOT-VPHASE;UBOOT-UPHASE,WBOOT-WPHASE7.7V ULSD,VLSD,WLSD,V7PDRV,V7A,V7P7.7V ADC0/GPIO0/US

ADC1/GPIO1/VS

ADC2/GPIO2/WS

CSN/ADC8

CSP/ADC9

3.6V ADC3/GPIO3/DAC/ADCREF

ADC4/GPIO4/SYSRST

ADC5/GPIO5/HS3/COMMON

ADC6/GPIO6/HS2

ADC7/GPIO7/HS1

P1.2/TA3.2,P1.4/TA2.0,P1.5/TA3.0,P1.6/TA4.0,P1.7/TA4.2

P2.0/TB0.0,P2.7/TBOCLK,

P3.4/UCA0CLK,P3.5/UCA0SIMO/UCA0TXD,P3.6/UCA0SOMI/UCA0RXD

P4.0/TA0CLK,P4.1/TA1CLK,P4.5/TA2CLK/SMCLK,P4.6/TA3CLKMCLK 3.6V TEST/SBWTCK

NMI/SBWTDIO

V3P3A,V3P3D

V DD 2.1V

All pins except UPhase,Vphase,Wphase–(Negative voltage)–0.3V PGND-GND–0.3+0.3V Operating free-air temperature–4085°C Operating junction temperature(peak,100ms)120°C Operating junction temperature(continuous)95°C PCB system board temp(continuous)95°C Solder temperature–10second duration.(Need to program device after solder)250°C (1)Stresses beyond those under“absolute maximum ratings”may cause permanent damage to the device.These are stress ratings only

and functional operation at these or any other conditions beyond those indicated under“recommended operating conditions”is not implied.Exposure to absolute-maximum-rated conditions for extended periods may affect device reliability.All voltages are with respect to ground.

Copyright?2014,Texas Instruments Incorporated Submit Documentation Feedback5

DRV91680

SLDS196A–MARCH2014–REVISED https://www.wendangku.net/doc/6816736549.html,

6.2Handling Ratings

MIN MAX UNIT

T STG(1)Storage temperature–55125°C Human-Body Model(HBM)(3)2

V ESD(2)kV Charged-Device Model(CDM)(4) 1.5

(1)Data retention on FLASH memory cannot be ensured when exceeding the specified maximum storage temperature,T stg.

(2)Electrostatic discharge(ESD)to measure device sensitivity and immunity to damage caused by assembly line electrostatic discharges in

to the device.

(3)Level listed above is the passing level per ANSI,ESDA,and JEDEC JS-001.JEDEC document JEP155states that500-V HBM allows

safe manufacturing with a standard ESD control process.

(4)Level listed above is the passing level per EIA-JEDEC JESD22-C101.JEDEC document JEP157states that250-V CDM allows safe

manufacturing with a standard ESD control process.

6.3Recommended Operating Conditions

over operating free-air temperature range(unless otherwise noted)

MIN TYP MAX UNIT VCC8.01826V

U/V/W PHASE–1.036V

T A Ambient temperature–4085°C 6.4Thermal Information

DRV91680

Thermal Metric(1)UNITS

RGZ(48PINS)

θJA Junction-to ambient thermal resistance29.9

θJCtop Junction-to-case(top)thermal resistance17.7

θJB Junction-to-board thermal resistance 6.7

°C/W

ψJT Junction-to-top characterization parameter0.2

ψJB Junction-to-board characterization parameter 6.7

θJCbot Junction-to-case(bottom)thermal resistance0.7

(1)For more information about traditional and new thermal metrics,see the IC Package Thermal Metrics application report,SPRA953B. 6.5Stand-by Mode

over operating free-air temperature range(unless otherwise noted)

PARAMETER TEST CONDITIONS MIN TYP MAX UNIT

I VCC Supply current VCC=26V4050μA

6Submit Documentation Feedback Copyright?2014,Texas Instruments Incorporated

DRV91680 https://www.wendangku.net/doc/6816736549.html, SLDS196A–MARCH2014–REVISED NOVEMBER2014

Table1.Flash Memory Characteristics

PARAMETER CONDITION MIN TYP MAX UNIT

Access cycle time40ns

Supply current from V3P3during VCC=12V35mA

program

Supply current from V3P3during VCC=12V2 6.5mA

erase

Word or byte program time See(1)6485uS

Mass Erase time See(1)2332mS

Program and erase endurance104104cycle

(1)Values are hardwired into the FLASH controller’s state machine

6.6DC Characteristics

over operating free-air temperature range(unless otherwise noted)

PARAMETER TEST CONDITIONS MIN TYP MAX UNIT

I VCC Supply current VCC at8-28V(no load)1080mA Copyright?2014,Texas Instruments Incorporated Submit Documentation Feedback7

DRV91680

SLDS196A–MARCH2014–REVISED https://www.wendangku.net/doc/6816736549.html,

6.7Electrical Characteristics

over recommended ranges of supply voltage and operating free-air temperature(unless otherwise noted)

PARAMETER TEST CONDITIONS V3P3D MIN TYP MAX UNIT SCHMITT-TRIGGER INPUTS–GENERAL PURPOSE DIGITAL I/O

Positive-going input threshold

V IT+VCC=8–28V 3.3V 1.86 2.12V voltage

Negative-going input threshold

V IT–VCC=8–28V 3.3V 1.13 1.42V voltage

V hys Input voltage hysteresis 3.3V710mV

For pullup:V IN=V SS,

R Pull Pullup/pulldown resistor33k?

For pulldown:V IN=V3P3D

C I Input capacitance V IN=V SS or V3PD32pF INPUTS–GENERAL PURPOSE DIGITAL I/O

External trigger pulse width to set

t(int)External interrupt timing(1) 3.3V20ns

interrupt flag

LEAKAGE CURRENT–GENERAL PURPOSE DIGITAL I/O

High-impedance leakage

I lkg(Px.x)See(2)and(3) 3.3V50nA

current

OUTPUTS–GENERAL PURPOSE DIGITAL I/O

I(OHmax)=–100μA(4)V3P3D–0.2

V OH High-level output voltage 3.3V V

I(OHmax)=–3.5mA(4)0.8×DV CC DV CC

I(OLmax)=100μA(4)V SS V SS+0.2

V OL Low-level output voltage 3.3V V

I(OLmax)=4.0mA(4)V SS0.22×DV CC

(1)An external signal sets the interrupt flag every time the minimum interrupt pulse width t(int)is met.It may be set by trigger signals

shorter than t(int).

(2)The leakage current is measured with V SS or D VCC applied to the corresponding pin(s),unless otherwise noted.

(3)The leakage of the digital port pins is measured individually.The port pin is selected for input and the pullup/pulldown resistor is

disabled.

(4)The maximum total current,I(OHmax)and I(OLmax),for all outputs combined,should not exceed±10mA to hold the maximum voltage drop

specified.

6.8Electrical Characteristics

over recommended ranges of supply voltage and operating free-air temperature(unless otherwise noted)

PARAMETER TEST CONDITIONS MIN TYP MAX UNIT OUTPUT FREQUENCY–GENERAL PURPOSE I/O(1)(2)

f Px.y Port output frequency C L=20pF12.5MHz TIMER_A

Internal:SMCLK,ACLK

f TA Timer_A input clock frequency External:TACLK25MHz

Duty cycle=50%±10%

All capture inputs.

t TA,cap Timer_A capture timing20ns

Minimum pulse width required for capture

TIMER_B

Internal:SMCLK,ACLK

f TB Timer_B input clock frequency External:TBCLK25MHz

Duty cycle=50%±10%

All capture inputs.

t TB,cap Timer_Bcapture timing20ns

Minimum pulse width required for capture

(1)A resistive divider with2×R1between DV CC and V SS is used as load.The output is connected to the center tap of the divider.R1=

550Ω.C L=20pF is connected to the output to V SS.

(2)The output voltage reaches at least10%and90%DV CC at the specified toggle frequency.

8Submit Documentation Feedback Copyright?2014,Texas Instruments Incorporated

DRV91680 https://www.wendangku.net/doc/6816736549.html, SLDS196A–MARCH2014–REVISED NOVEMBER2014

6.9USCI(SPI Master Mode)-Electrical Characteristics

over recommended ranges of supply voltage and operating free-air temperature(unless otherwise noted)

PARAMETER TEST CONDITIONS MIN TYP MAX UNIT

f USCI USCI input clock frequency SMCLK,ACLK,Duty cycle=50%±10%f SYSTEM MHz

t SU,MI SOMI input data setup time50ns

t HD,MI SOMI input data hold time0ns

t VALID SIMO output data valid time UCLK edge to SIMO valid,C L=20pF20ns Copyright?2014,Texas Instruments Incorporated Submit Documentation Feedback9

DRV91680

SLDS196A–MARCH2014–REVISED https://www.wendangku.net/doc/6816736549.html, 7Detailed Description

7.1Overview

DRV91680provides all the necessary control features for implementing a Half-Bridge,1-phase(Full Bridge)3-phase brush or brushless DC(BLDC)motor driver/controller.It can support a Hall-sensor or Hall-element BLDC motor control scheme or a sensorless BLDC control scheme.A full complement of protective features such as over-current protection,thermal protection,UVLO,Brown Out(BOR)and POR make it a robust system.The16-bit microcontroller can control the motor speed via a digital feedback loop.The digital feedback loop is capable of quick dynamic response and acts to maintain accurate speed and torque control.

7.2Functional Block Diagram

Figure1.Basic Application Block Diagram

10Submit Documentation Feedback Copyright?2014,Texas Instruments Incorporated

DRV91680 https://www.wendangku.net/doc/6816736549.html, SLDS196A–MARCH2014–REVISED NOVEMBER2014

Functional Block Diagram(continued)

Figure2.DRV91680Multi-Chip Module Interconnectivity Block Diagram

Copyright?2014,Texas Instruments Incorporated Submit Documentation Feedback11

DRV91680

SLDS196A–MARCH2014–REVISED https://www.wendangku.net/doc/6816736549.html, 7.3Feature Description

7.3.1Interface Signal Connections

The digital subsystem and analog subsystem communicate via various signals along the system boundaries.The digital subsystem must be properly configured to communicate with the analog subsystem.Table2summarizes the interface signals that exist between the two subsystems along with the respective digital subsystem name, port direction,port configuration,and signal description.

Table2.Analog Interface Signal Connections

DIGITAL SUBSYSTEM

INTERFACE

DESCRIPTION SIGNAL NAME PORT NAME I/O PORT CONFIGURATION

SPI reset-resets analog subsystem SPI SRESET P3.7O General purpose output

slave interface

SCLK P4.4/UCB0CLK O USCI B0SPI master mode SPI master clock from digital subsystem

SPI data from digital subsystem to analog SIMO P4.3/UCB0SIMO O USCI B0SPI master mode

subsystem

SPI data to digital subsystem from analog SOMI P4.2/UCB0SOMI I USCI B0SPI master mode

subsystem

General purpose input or timer capture

HALL_OUT1P3.1/TA2.1I Hall sensor1output from analog subsystem

compare input

General purpose input or timer capture

HALL_OUT2P3.2/TA3.1I Hall sensor2output from analog subsystem

compare input

General purpose input or timer capture

HALL_OUT3P3.3/TA4.1I Hall sensor3output from analog subsystem

compare input

PWM1to U_LowSide predriver of analog PWM_OUT1P2.1/TB0.1O Timer PWM output

subsystem

PWM_OUT2P2.2/TB0.2O Timer PWM output PWM2to U_HighSide predriver of analog PWM_OUT3P2.3/TB0.3O Timer PWM output PWM3to V_LowSide predriver of analog PWM_OUT4P2.4/TB0.4O Timer PWM output PWM4to V_HighSidepredriver of analog PWM_OUT5P2.5/TB0.5O Timer PWM output PWM5to W_LowSide predriver of analog PWM_OUT6P2.6/TB0.6O Timer PWM output PWM6to W_HighSide predriver of analog

Buffered PWM input signal from analog

General purpose input or timer capture

PWMBUF/OCtoMCU P1.0/TA0.1I subsystem or blanked over current

compare input

comparator output

ADC conversion complete.Interrupt issued ADCDone/ADCStart P3.0/TA1.1I General purpose input from analog to uC.ADC conversion START

signal from microcontroller

General purpose interrupt signal from FAULT_INT P1.1/TA2.2I General purpose input

analog subsystem

7.3.2Digital Subsystem Description

7.3.2.1CPU

The MSP430CPU has a16-bit RISC architecture that is highly transparent to the application.All operations, other than program-flow instructions,are performed as register operations in conjunction with seven addressing modes for source operand and four addressing modes for destination operand.

The CPU is integrated with16registers that provide reduced instruction execution time.The register-to-register operation execution time is one cycle of the CPU clock.

Four of the registers,R0to R3,are dedicated as program counter,stack pointer,status register,and constant generator,respectively.The remaining registers are general-purpose registers.

Peripherals are connected to the CPU using data,address,and control buses,and can be handled with all instructions.

12Submit Documentation Feedback Copyright?2014,Texas Instruments Incorporated

DRV91680 https://www.wendangku.net/doc/6816736549.html, SLDS196A–MARCH2014–REVISED NOVEMBER2014

Table3.Digital CPU Address Mode Descriptions

ADDRESS MODE S(1)D(1)SYNTAX EXAMPLE OPERATION Register++MOV Rs,Rd MOV R10,R11R10→R11

Indexed++MOV X(Rn),Y(Rm)MOV2(R5),6(R6)M(2+R5)→M(6+R6) Symbolic(PC relative)++MOV EDE,TONI M(EDE)→M(TONI) Absolute++MOV&MEM,&TCDAT M(MEM)→M(TCDAT)

Indirect+MOV@Rn,Y(Rm)MOV@R10,Tab(R6)M(R10)→M(Tab+R6)

M(R10)→R11 Indirect autoincrement+MOV@Rn+,Rm MOV@R10+,R11

R10+2→R10 Immediate+MOV#X,TONI MOV#45,TONI#45→M(TONI) (1)S=source,D=destination

7.4Microcontroller Operating Modes

In general,the MSP430family has one active mode and five software selectable low-power modes of operation. The microcontroller in DRV91680device contains three low-power modes since some are equivalent.An interrupt event can wake up the device from any of the three low-power modes,service the request,and restore back to the low-power mode on return from the interrupt program.

The following four operating modes can be configured by software:

1.Active mod(AM)

–All clocks are active

2.Lower-power mode0,1(LPM0,LPM1).There is no functional difference between LPM0and LPM1.

–CPU is disabled

–MCLK is disabled

–ACLK and SMCLK remain active

3.Low-power mode2,3(LPM2,LPM3).There is no functional difference between LPM2and LPM3.

–CPU is disabled

–MCLK is disabled

–ACLK remain active;SMCLK is disabled

4.Low-power mode4(LPM4)

–CPU is disabled

–MCLK is disabled

–ACLK is disabled;SMCLK is disabled

7.4.1Interrupt Vector Addresses

The interrupt vectors and the power-up start address are located in the address range0FFFFh to0FF80h.The vector contains the16-bit address of the appropriate interrupt-handler instruction sequence.

Table4.Interrupt Sources,Flags,and Vectors of DRV91680Configurations

SYSTEM WORD INTERRUPT SOURCE INTERRUPT FLAG PRIORITY(1)

INTERRUPT ADDRESS System Reset

Power-Up

SCSKEY,FRKEY(SYSRSTIV)(2)(3)(4)Reset0FFFEh63,highest External Reset

Key Violation

System NMI

Vacant Memory Access VMAIFG,JMBNIFG,JMBOUTIFG(SYSSNIV)(2)(4)(Non)maskable0FFFCh62 JTAG Mailbox

(1)These are fixed priority.

(2)Multiple source flags

(3)A reset is generated if the CPU tries to fetch instructions from within peripheral space or vacant memory space.(Non)maskable:the

individual interrupt-enable bit can disable an interrupt event,but the general-interrupt enable cannot disable it.

(4)See SYS module description for details on all interrupt sources.

Copyright?2014,Texas Instruments Incorporated Submit Documentation Feedback13

DRV91680

SLDS196A–MARCH2014–REVISED https://www.wendangku.net/doc/6816736549.html, Microcontroller Operating Modes(continued)

Table4.Interrupt Sources,Flags,and Vectors of DRV91680Configurations(continued)

SYSTEM WORD INTERRUPT SOURCE INTERRUPT FLAG PRIORITY(1)

INTERRUPT ADDRESS

User NMI

NMIIFG(SYSUNIV)(2)(3)(4)(Non)maskable0FFFAh61 NMI

I/O Port P1P1IFG.0to P1IFG.7(P1IV)(2)(5)Maskable0FFF8h60

I/O Port P2P2IFG.0to P2IFG.7(P2IV)(2)(5)Maskable0FFF6h59

I/O Port P3P3IFG.0to P3IFG.7(P3IV)(2)(5)Maskable0FFF4h58 TA0TA0CCR0CCIFG0(5)Maskable0FFF2h57

TA0CCR1CCIFG1

TA0Maskable0FFF0h56

TA0IFG(TA0IV)(2)(5)

TA1TA1CCR0CCIFG0(5)Maskable0FFEEh55

TA1CCR1CCIFG1

TA1Maskable0FFECh54

TA1IFG(TA1IV)(2)(5)

TB0TB0CCR0CCIFG0(5)Maskable0FFEAh53

TB0CCR1CCIFG1...TABCCR2CCIFG6,

TB0Maskable0FFE8h52

TB0IFG(TB0IV)(2)(5)

USCI_A0Receive/Transmit UCA0RXIFG,UCA0TXIFG(UCA0IV)(2)(5)Maskable0FFE6h51 USCI_B0Receive/Transmit UCB0RXIFG,UCB0TXIFG(UCB0IV)(2)(5)Maskable0FFE4h50 TA2TA2CCR0CCIFG0(5)Maskable0FFE2h49

TA2CCR1CCIFG1...TA2CCR2CCIFG2,

TA2Maskable0FFE0h48

TA2IFG(TA2IV)(2)(5)

DMA DMA0IFG,DMA1IFG,DMA2IFG(DMAIV)(2)(5)Maskable0FFDEh47

TA3TA3CCR0CCIFG0(5)Maskable0FFDCh46

TA3CCR1CCIFG1...TA3CCR2CCIFG2,

TA3Maskable0FFDAh45

TA3IFG(TA3IV)(2)(5)

TA4TA4CCR0CCIFG0(5)Maskable0FFD8h44

TA4CCR1CCIFG1...TA4CCR2CCIFG2,

TA4Maskable0FFD6h43

TA4IFG(TA4IV)(2)(5)

I/O Port P4P4IFG.0to P4IFG.7(P4IV)(2)(5)Maskable0FFD4h42

Watchdog Timer_A

WDTIFG Maskable0FFD2h41 Interval Timer Mode

0FFD0h40 Reserved Reserved(6)??

0FF80h0,lowest

(5)Interrupt flags are located in the module.

(6)Reserved interrupt vectors at addresses are not used in this device and can be used for regular program code if necessary.To maintain

compatibility with other devices,it is recommended to reserve these locations.

The MSP430SFRs are located in the lowest address space and can be accessed via word or byte formats.

Table5.Special Function Registers(SFRs)

Legend rw:Bit can be read and written.

rw-0,1:Bit can be read and written.It is reset or set by PUC.

rw-(0,1):Bit can be read and written.It is reset or set by POR.

rw-[0,1]:Bit can be read and written.It is reset or set by BOR.

–SFR bit is not present in device.

14Submit Documentation Feedback Copyright?2014,Texas Instruments Incorporated

DRV91680 https://www.wendangku.net/doc/6816736549.html, SLDS196A–MARCH2014–REVISED NOVEMBER2014

Table6.Digital Interrupt Enable and Flag

Interrupt Enable1

15141312111098

––––––––

76543210 JMBOUTIE JMBINIE–NMIE VMAIE––WDTIE rw-0rw-0rw-0rw-0rw-0 WDTIE Watchdog-timer interrupt enable.Inactive if watchdog mode is selected.Active if watchdog timer is configured as a general-purpose timer.

VMAIE Vacant memory access interrupt enable

NMIIE Nonmaskable-interrupt enable

JMBINIE JTAG mailbox input interrupt enable

JMBOUTIE JTAG mailbox output interrupt enable

Table7.Interrupt Flag1

15141312111098

––––––––

76543210 JMBOUTIFG JMBINIFG–NMI1FG VMAIFG––WDTIFG rw-0rw-0rw-0rw-0rw-0 JMBOUTIFG Set on watchdog security key violation.Reset on V CC power-on

JMBINIFG Set on vacant memory access

NMI1FG Set via NMI pin

VMAIFG Set on JTAG mailbox input message

WDTIFG Set on JTAG mailbox output register ready for next message

7.4.2Memory Organization

Table8.Digital Memory Organization

DRV91680(1)

Size32KB

Main:code memory00FFFFh–008000h

Memory:Main(FLASH)

Vacant memory007FFFh–002400h

Size2KB

RAM(2)

RAM0023FFh–001C00h

1KB

TI boot/configuration memory(FLASH)(3)Size

001BFFh–001800h

2KB

Factory memory(FLASH)Size

0017FFh–001000h

4KB

Peripherals Size

000FFFh–0h

(1)All memory regions not explicitly stated are vacant memory and any access to these regions will cause

a Vacant Memory Interrupt.

(2)RAM-volatile memory type.

(3)The TI boot/configuration is for TI purposes only and is automatically protected by the SYS module

from reads and writes by the user application.

Copyright?2014,Texas Instruments Incorporated Submit Documentation Feedback15

DRV91680

SLDS196A–MARCH2014–REVISED https://www.wendangku.net/doc/6816736549.html, 7.5FLASH Memory

The FLASH memory can be programmed via the JTAG port,Spy-Bi-Wire(SBW)or in–system by the CPU.The CPU can perform single-byte,single-word,and long-word writes to the FLASH memory.Features of the FLASH memory include:

?DRV91680does not have BSL(Boot Strap Loader)feature.

?DRV91680does not have Encryption or Error Control Scheme(CRC),but has the ability to lock Device after programming.

?Area between0x17FFh to0x1000h can be used as regular memory.

?Minimum sector size in Main memory and Factory memory(information memory)is512bytes.

7.5.1Peripherals

Peripherals are connected to the CPU through data,address,and control busses and can be handled using all instructions.For complete module descriptions,refer to the DRV9xxxx FLASH-Based Family User's Guide, literature number SLAU532.

7.5.2Digital I/O

There are four8-bit I/O ports P1,P2,P3,and P4.

?All individual I/O bits are independently programmable.

?Any combination of input,output,and interrupt conditions is possible.

?Programmable pullup and pulldown on all ports.

?Edge-selectable interrupt input capability for all ports.

?Read/write access to port-control registers is supported by all instructions.

?Ports can be accessed byte-wise(P1through P4)or word-wise in pairs(PA and PB).

PA,PB naming convention in the DRV9xxx FLASH-based Family Users's Guide(SLAU532),should not be identified to the DRV91680device Analog GPIO pin labels PA1.x to PA1.xx Analog GPIO are not interrupt driven.

NOTE

The majority of the digital I/O is shared with the interface signals and is not available

outside of the device.See Table2(Analog Interface signal Connections)for which I/O

ports are used as interface signals.

On power up,the GPIOs are always going to floating"INPUT".Enable or DISable the pullups or pulldowns using the PxREN/PxDIR and PxOUT register.Refer to the typical digital GPIO Input/Output Buffer structure below.

16Submit Documentation Feedback Copyright?2014,Texas Instruments Incorporated

P3REN5

P3DIR5

P3DIR5

From Port

Mapping

P3OUT5

From Port

Mapping

P3SEL5

P3IN5

To Port

Mapping

P3IRQ5

P3SEL5

P3IES5DRV91680

https://www.wendangku.net/doc/6816736549.html, SLDS196A–MARCH2014–REVISED NOVEMBER2014 FLASH Memory(continued)

Figure3.Typical Digital GPIO Input/Output/Buffer Structure

PxDIR PxREN PxOUT I/O Configuration 00x Input

010Input with pulldown resistor

011Input with pullup resistor

1x x Output

7.5.3Simple Clock System

The Simple Clock System module(SCS)generates all the system clocks required in the system.The system clock operates at25MHz from an integrated oscillator that resides on the analog block operating at50MHz (CLK50MHZ)nominal divided by two.The SCS creates all sub-clocks derived from the25MHz source.Figure4 shows the SCS block diagram.

The SCS module provides the following system clock signals:

?Auxiliary clock(ACLK).ACLK can be divided by1,2,4,or8.

?Main clock(MCLK),the system clock used by the CPU.MCLK can be divided by1,2,4,or8.

?Sub-Main clock(SMCLK),the subsystem clock used by the peripheral modules.SMCLK can be divided by1, 2,4,or8.

Copyright?2014,Texas Instruments Incorporated Submit Documentation Feedback17

DRV91680

SLDS196A–MARCH2014–REVISED https://www.wendangku.net/doc/6816736549.html,

Figure4.Simple Clock System Block Diagram

7.5.4Interval Timer(WDT_A)

The WDT_A module can be configured as an interval timer and can generate interrupts at selected time intervals.Refer to the Watchdog Timer chapter in the DRV9xxxx FLASH-Based Family User's Guide(SLAU532) for complete details.

7.5.5System Module(SYS)

The SYS module handles many of the system functions within the device.These include power on reset and power up clear handling,NMI source selection and management,reset interrupt vector generators,as well as, configuration management(device descriptors).It also includes a data exchange mechanism via JTAG called a JTAG mailbox that can be used in the application.

18Submit Documentation Feedback Copyright?2014,Texas Instruments Incorporated

DRV91680 https://www.wendangku.net/doc/6816736549.html, SLDS196A–MARCH2014–REVISED NOVEMBER2014

Table9.Digital System Module Interrupt Vector Registers of DRV91680

INTERRUPT VECTOR REGISTER INTERRUPT EVENT WORD OFFSET PRIORITY

ADDRESS

No interrupt pending00h

Brownout(RESETZ)(BOR)02h Highest

RST/NMI04h

Reserved06h

Reserved08h

Security violation(BOR)0Ah

Reserved0Ch

Reserved0Eh

Reserved10h SYSRSTIV,System Reset019Eh

Reserved12h

Reserved14h

WDT timeout(PUC)16h

WDT key violation(PUC)18h

FLASH KEY key violation(PUC)1Ah

Reserved1Ch

Peripheral area fetch(PUC)1Eh

SCSKEY key violation(PUC)20h

Reserved22h-3Eh Lowest

No interrupt pending00h

Reserved02h Highest

Reserved04h

Reserved06h

Reserved08h SYSSNIV,System NMI VMAIFG0Ah

JMBINIFG0Ch

JMBOUTIFG019Ch0Eh

Reserved10h

Reserved12h

Reserved14h-1Eh Lowest

No interrupt pending00h

NMIFG02h Highest SYSUNIV,User NMI019Ah

Reserved04h–0Ah Lowest

0Ch-1Eh

7.5.6DMA Controller

The DMA controller allows movement of data from one memory address to another without CPU intervention. Using the DMA controller can increase the throughput of peripheral modules.The DMA controller reduces system power consumption by allowing the CPU to remain in sleep mode,without having to awaken to move data to or from a peripheral.Refer to the DMA Controller chapter in the DRV9xxxx FLASH-Based Family User's Guide(SLAU532)for complete details.

Table10.DMA Trigger Assignments(1)

CHANNEL

TRIGGER

012

0DMAREQ DMAREQ DMAREQ

1TA0CCR0CCIFG TA0CCR0CCIFG TA0CCR0CCIFG

(1)If a reserved trigger source is selected,no trigger is generated.

Copyright?2014,Texas Instruments Incorporated Submit Documentation Feedback19

DRV91680

SLDS196A–MARCH2014–REVISED https://www.wendangku.net/doc/6816736549.html,

Table10.DMA Trigger Assignments(1)(continued)

CHANNEL

TRIGGER

012

2TA1CCR0CCIFG TA1CCR0CCIFG TA1CCR0CCIFG

3TA2CCR0CCIFG TA2CCR0CCIFG TA2CCR0CCIFG

4TA2CCR2CCIFG TA2CCR2CCIFG TA2CCR2CCIFG

5TA3CCR0CCIFG TA3CCR0CCIFG TA3CCR0CCIFG

6TA3CCR2CCIFG TA3CCR2CCIFG TA3CCR2CCIFG

7TA4CCR0CCIFG TA4CCR0CCIFG TA4CCR0CCIFG

8TA4CCR2CCIFG TA4CCR2CCIFG TA4CCR2CCIFG

9TBCCR0CCIFG TBCCR0CCIFG TBCCR0CCIFG

10TBCCR2CCIFG TBCCR2CCIFG TBCCR2CCIFG

11Reserved Reserved Reserved

12Reserved Reserved Reserved

13Reserved Reserved Reserved

14Reserved Reserved Reserved

15Reserved Reserved Reserved

16UCA0RXIFG UCA0RXIFG UCA0RXIFG

17UCA0TXIFG UCA0TXIFG UCA0TXIFG

18UCB0RXIFG UCB0RXIFG UCB0RXIFG

19UCB0TXIFG UCB0TXIFG UCB0TXIFG

20Reserved Reserved Reserved

21Reserved Reserved Reserved

22Reserved Reserved Reserved

23Reserved Reserved Reserved

24Reserved Reserved Reserved

25Reserved Reserved Reserved

26Reserved Reserved Reserved

27Reserved Reserved Reserved

28Reserved Reserved Reserved

29MPY ready MPY ready MPY ready

30DMA2IFG DMA0IFG DMA1IFG

31DMAE0DMAE0DMAE0

7.5.7Timers A0,A1

Timers A0and A1are16-bit timers/counters with two capture/compare registers.They can support multiple capture/compares,PWM outputs,and interval timing.They also have extensive interrupt capabilities.Interrupts may be generated from the counter on overflow conditions and from each of the capture/compare registers. Refer to the Timer_A chapter in the DRV9xxxx FLASH-Based Family User's Guide(SLAU532)for complete details.

Table11.Digital Timer A0Signal Connections

DEVICE INPUT MODULE INPUT MODULE OUTPUT PORT MODULE BLOCK PORT SIGNAL NAME SIGNAL

TA0CLK TACLK

P4.0ACLK(internal)ACLK

Timer NA NA SMCLK(internal)SMCLK

P4.0TA0CLK TACLK

20Submit Documentation Feedback Copyright?2014,Texas Instruments Incorporated

无刷直流电机的驱动及控制

无刷直流电机驱动 James P. Johnson, Caterpiller公司 本章的题目是无刷直流电动机及其驱动。无刷直流电动机(BLDC)的运行仿效了有刷并励直流电动机或是永磁直流电动机的运行。通过将原直流电动机的定子、转子内外对调—变成采用包含电枢绕组的交流定子和产生磁场的转子使得该仿效得以可能。正如本章中要进一步讨论的,输入到BLDC定子绕组中的交流电流必须与转子位置同步更变,以便保持磁场定向,或优化定子电流与转子磁通的相互作用,类似于有刷直流电动机中换向器、电刷对绕组的作用。该原理的实际运用只能在开关电子学新发展的今天方可出现。BLDC电机控制是今天世界上发展最快的运动控制技术。可以预见,随着BLDC的优点愈益被大家所熟知且燃油成本持续增加,BLDC必然会进一步广泛运用。 2011-01-30 23.1 BLDC基本原理 在众文献中无刷直流电动机有许多定义。NEMA标准《运动/定位控制电动机和控制》中对“无刷直流电动机”的定义是:“无刷直流电动机是具有永久磁铁转子并具有转轴位置监测来实施电子换向的旋转自同步电机。不论其驱动电子装置是否与电动机集成在一起还是彼此分离,只要满足这一定义均为所指。”

图23.1 无刷直流电机构形 2011-01-31 若干类型的电机和驱动被归类于无刷直流电机,它们包括: 1 永磁同步电机(PMSMs); 2 梯形反电势(back - EMF)表面安装磁铁无刷直流电机; 3 正弦形表面安装磁铁无刷直流电机; 4 内嵌式磁铁无刷直流电机; 5 电机与驱动装置组合式无刷直流电机; 6 轴向磁通无刷直流电机。 图23.1给出了几种较常见的无刷直流电机的构形图。永磁同步电机反电势是正弦形的,其绕组如同其他交流电机一样通常不是满距,或是接近满距的集中式绕组。许多无刷直流电

MSP430单片机最小系统

第八章MSP430F249单片机最小系统 8.1 MSP430单片机下载方式 当单片机程序利用IAR开发环境编译和proteus仿真通过以后,还需要把程序生成的二进制代码烧录进单片机内部闪存中运行,这个过程称为下载或者编程。MSP430单片机支持多种FLASH编程方法:BSL和JTAG。其中BSL是启动加载程序(BootStrap Loader)的简称,该方法允许用户通过标准的RS-232串口访问MSP430单片机的FLASH和RAM。在单片机的地址为(0C00H-1000H)的ROM区内存放了一段引导程序,给单片机的特定引脚加上一段特定的时序脉冲,就可以进入这段程序,让用户读写、擦除FLASH程序。通过BSL无条件擦除单片机闪存,重新下载程序,还可以通过密码读出程序。 另外一种下载程序的方式为JTAG(Joint Test Action Group ,联合测试行动小组),JTAG是一种国际标准测试协议,主要用于芯片内部测试及对系统进行仿真、调试。JTAG 技术是一种嵌入式调试技术,它在芯片内部封装了专门的测试电路TAP(Test Access Port,测试访问口),通过专用的JTAG 测试工具对内部节点进行测试。目前大多数比较复杂的器件都支持JTAG 协议,如ARM 、DSP 、FPGA 器件等。标准的JTAG 接口是4 线:TMS、TCK、TDI、TDO,分别为测试模式选择、测试时钟、测试数据输入和测试数据输出。目前JTAG 接口的连接有两种标准,即14 针接口和20 针接口,MSP430单片机使用的是14针的接口,其定义分别如表8-1所示。 表8-1 14针JTAG接口定义引脚名称描述 管脚编号功能说明 2 、4 VCC 电源 9 G ND 接地 11 nTRST 系统复位信号 3 TDI 数据串行输入 7 TMS 测试模式选 9 TCK 测试时钟 1 TDO 测试数据串行 输 6、8、10、12 NC 未连接 下面分别介绍BSL和JTAG方式下编程器设计,可以用在实际系统编程中。 8.2 BSL编程器原理 启动程序载入器(BootStrap)是一种编程方法,允许通过串行连接和MSP430通讯,在Flash Memory 被完全擦除时也能正常工作。MSP430的启动程序载入器(Bootstrap)在单片机正常复位时不会自动启动,当需要对单片机下载程序代码时候,对RST/NMI和TEST引脚设置特殊的顺序。当MSP430单片机的TEST 引脚为低电平而RST/NMI引脚有上升沿时,用户程序从位于内存地址0FFFEh 复位向量开始执行,用户程序正常启动,如图8-1所示

电动工具使用安全操作规程

电动工具使用安全操作规程 一.角向砂轮(电磨头)机安全操作规程 1.角向砂轮(电磨头)机的试验和检查 2. 1.1角向砂轮(电磨头)机的电机每六个月必须由电工班进行定期检验,如有异常,立即停止使用。 3. 1.2检查砂轮(磨头)片型号与角向砂轮(电磨头)机相匹配。严禁使用有裂纹或其他不良的砂轮(磨头)片。 4. 1.3角向砂轮机必须装有用钢板制成的防护罩,应能保证当砂轮片碎裂时挡住碎片。 5. 1.4 严禁使用雨淋或受潮的砂轮(磨头)片。 6.2角向砂轮(电磨头)机使用时注意事项 7. 2.1使用角向砂轮(电磨头)机时,应戴防护眼镜。 8. 2.2使用时,应使火星向下,或做好防止伤害其他工作职员的措施。 9. 2.3严禁在特级和一级动火区未办理动火工作票就使用砂轮角向砂轮机。 10.2.4不准用角向砂轮(磨头)机当切割机使用。 11. 2.5不得打磨非金属材料。 12. 2.6工作中发现砂轮(磨头)片松动,应立即停机,重新进行紧固。 13. 2.7 砂轮(磨头)片半径小于原半径1/3时应更换新砂轮(磨头)片。 二.电动切割机安全操作规程 1.电动切割机的试验和检查 2. 1.1切割机的电机每六个月必须由电工班进行定期检查,如有异常,立即停止使用。 3. 1.2检查砂轮片型号与切割机相匹配。严禁使用有裂纹或其他不良的砂轮片。 4.1.3切割机必须装有用钢板制成的防护罩,应能保证当砂轮片碎裂时挡住碎片。 5.2手提式切割机使用时注意事项 6.2.1使用切割机时,应戴防护眼镜。

7. 2.2使用时,应使火星向下,作水平切割时应做好防止伤害其他工作职员的措施。 8. 2.3严禁在特级和一级动火区未办理动火工作票就使用砂轮切割机。 9.2.4不准用砂轮片的侧面打磨工件。 10.2.5使用切割机时,必须把切割机的物件夹紧后,方可开始工作。 11.2.6工作中发现工件或砂轮片松动,应立即停机,使砂轮片离开工件,重新进行紧固。 12.2.7严禁切割非金属材料。 三.电锤(电钻)安全操作规程 1.电锤的试验和检查 1.1检查电锤(电钻)电源线是否完好。 1.2工具电源开关损坏或漏电时,严禁使用。 1.3操作者操作时要带好防护眼镜,以免飞溅物伤害眼睛。 1.4长期作业时要带好耳塞,减轻噪声的影响。 1.5长期作业更换钻头时应待冷却后对钻头进行更换,以免钻头高温灼伤皮肤。 1.6作业时应使用侧手柄,双手操作,以免反作用力扭伤胳膊。 1.7站在梯子上工作或高处作业时,应做好高空坠落措施,梯子应有人扶持。 1.8用电钻薄板时须垫木板,要用钻头,钻圆轴类工件时,下面应垫三角铁,以防移动,如用大钻头钻厚铁板时必须固定铁板,防止工件旋转伤人。 2.电锤(电钻)使用时注意事项 2.1确认现场所接电源与工具名牌一致,是否有漏电保护器。 2.2钻头与夹持器应适配,并妥善安装。 2.3钻凿墙壁、天花板、地板时,应先确认有无埋设电缆或管道等。 2.4在高处作业时,要充分注意下面的物体和行人安全,必要时设警戒标志。

直流无刷电机驱动说明书

BLDC SERVO DRIVERS 无刷直流调速驱动器 使用手册1.3 系统上电前请仔细阅读手册 DBLS-01系列 直流无刷电机驱动说明书 一、概述 本控制驱动器为闭环速度型控制器,采用最近型IGBT和MOS功率器,利用直流无刷电机的霍尔信号进行倍频后进行闭环速度控制,控制环节设有PID速度调节器,系统控制稳定可靠,尤其是在低速下总能达到最大转矩,速度控制范围150~6000rpm。 二、特点 1、PID速度、电流双环调节器 2、高性能低价格 3、20KHZ 斩波频率 4、电气刹车功能,使电机反应迅速 5、过载倍数大于2,在低速下转矩总能达到最大 6、具有过压、欠压、过流、过温、霍尔信号非法等故障报警功能 三、电气指标 标准输入电压:24VDC\36VDC\48VDC 三种 最大输入过载保护电流:5A\15A两种 加速时间常数出厂值:0.2秒其他可定制 四、端子接口说明

1、电源输入端 引角序号引角名中文定义 1 V+ 直流+24V输入 2 GND 直流0V输入 2、电机输入端 引角序号引角名中文定义 1 MA 电机A相 2 MB 电机B相 3 MC 电机C相 4 GND 地线 5 HA 霍尔信号A相输入端 6 HB 霍尔信号B相输入端 7 HC 霍尔信号C相输入端 8 +6.25 霍尔信号的电源线 3、控制信号部分 GND:信号地 F/R:正、反转控制,接GND反转,不接正转,正反转切换时,应先关断EN EN:使能控制:EN接地,电机转(联机状态),EN不接,电机不转(脱机状态) BK:刹车控制:当不接地正常工作,当接地时,电机电气刹车,当负载惯量较大时,应采用脉宽信号方式,通过调整脉宽幅值来控制刹车效果。 SV ADJ:外部速度衰减:可以衰减从0~100%,当外部速度指令接6.25V时,通过该电位器可以调速试机 PG:电机速度脉冲输出:当极对数为P时,每转输出6P个脉冲(OC门输入) ALM:报警输出:当电路处于报警状态时,输出低电平(OC门输出) +6.25V:调速电压输出,可用电位器在SV和GND形成连续可调 拔码开关说明:四个档位为OFF时,电机不运行,SW1为ON状态时,电机转速为100%,SW2为ON状态时,电机转速为80%,SW3为ON状态时,电机转速为40%,SW4为ON状态时,电机转速为20%。 4.机械安装:

MSP430g2553原理图

MSP-EXP430G2LaunchPad Evaluation Kit User's Guide Literature Number:SLAU318E July2010–Revised March2014

Contents 1MSP-EXP430G2LaunchPad Overview (4) 1.1Overview (4) 1.2Features (5) 1.3Kit Contents (5) 1.4Revisions (6) 2Installation (6) 2.1Download the Required Software (6) 2.2Install the Software (6) 2.3Install the Hardware (6) 3Getting Started With MSP-EXP430G2LaunchPad (7) 3.1Getting Started (7) 3.2Demo Application,Internal Temperature Measurement (7) 4Develop an Application With the MSP-EXP430G2LaunchPad (8) 4.1Developing an Application (8) 4.2Program and Debug the Temperature Measurement Demo Application (8) 4.3Disconnect Emulator From Target With Jumper J3 (9) 4.4Program Connected eZ430Target Boards (10) 4.5Connecting a Crystal Oscillator (10) 4.6Connecting a BoosterPack (11) 4.7Supported Devices (11) 4.8MSP-EXP430G2On-Board Emulator (13) 5MSP-EXP430G2Hardware (13) 5.1Device Pinout (13) 5.2Schematics (14) 5.3PCB Layout (20) 5.4Bill of Materials(BOM) (23) 6Suggested Reading (24) 7Frequently Asked Questions(FAQ) (24) Revision History (26) 2Table of Contents SLAU318E–July2010–Revised March2014 Submit Documentation Feedback Copyright?2010–2014,Texas Instruments Incorporated

电动工具安全操作规程

电气工具安全操作规程 定期检查 工具使用单位应有专职人员使用《电动工具定期安全检查表》进行定期检查,定期检查每年一次。工具的定期检查,应测量工具的绝缘电阻。确保绝缘电阻满足:带电部分与壳体之间基本绝缘部分大于2MΩ,与壳体之间加强绝缘部分大于7MΩ;带电部分与II类工具中仅用基本绝缘与带电部分隔离的金属零件之间大于2MΩ,II类工具中仅用基本绝缘与带电部分隔离的金属零件与壳体之间大于5MΩ。 经定期检查合格的工具,应在工具的适当部分,粘贴检查“合格”标识。“合格”标识应鲜明、清晰、正确并至少包括:工具编号,检查单位名称或标记,检查人员姓名或标记,有效日期等。 日常检查 使用电工工具前,使用者应进行日常检查,包括: 1.外壳、手柄是否有裂缝或破损; 2.保护接地线连接是否完好无损; 3.电源线及电源插头是否完好无损; 4.电源开关有无破损、破裂,其动作是否正常、灵活; 5.机械防护装置是否完好; 6.工具转动部分是否灵活、轻快,无阻滞现象; 7.运动部件是否完好,无破裂、变形等损伤; 安全操作规程: 1.手电钻、冲击钻 使用前准备安全要求: ①.检查确认设备外壳、手柄不出现裂缝、破损; ②.检查确认电缆软线及插头完好无损,开关动 作正常; ③.检查电钻各种杆件、联件情况是否稳固; ④.检查确认作业地点隐蔽设施分布情况(如电 缆线、气体及输水管道等),必要时可使用金属探测 器进行查探; ⑤.检查电源电压是否正确,电源线及插头是否 完好,延伸电源线一定要远离工作地点; ⑥.操作人员除基本个人防护用品外,还要佩戴 防护眼镜,穿着防砸工作鞋。 作业过程安全操作要求: ①.进行任何工作前,必须把电源插头拔离,避免带电移动冲击钻;使用的电源插座必须装有漏电开关装置; ②.启动后,应空载运转,应检查并确认机具联动灵活无阻; ③.操作时需使用辅助把手;

无刷直流电机控制系统的设计

1引言无刷直流电机最本质的特征是没有机械换向器和电刷所构成的机械接触式换向机构。现在,无刷直流电机定义有俩种:一种是方波/梯形波直流电机才可以被称为无刷直流电机,而正弦波直流电机则被认为是永磁同步电机。另一种是方波/梯形波直流电机和正弦波直流电机都是无刷直流电机。国际电器制造业协会在1987年将无刷直流电机定义为“一种转子为永磁体,带转子位置信号,通过电子换相控制的自同步旋转电机”,其换相电路可以是独立的或集成于电机本体上的。本次设计采用第一种定义,把具有方波/梯形波无刷直流电机称为无刷直流电机。从20世纪90年代开始,由于人们生活水平的不断提高和现代化生产、办公自动化的发展,家用电器、工业机器人等设备都向着高效率化、小型化及高智能化发展,电机作为设备的重要组成部分,必须具有精度高、速度快、效率高等优点,因此无刷直流电机的应用也发展迅速[1]。 1.1 无刷直流电机的发展概况 无刷直流电动机是由有刷直流电动机的基础上发展过来的。 19世纪40年代,第一台直流电动机研制成功,经过70多年不断的发展,直流电机进入成熟阶段,并且运用广泛。 1955年,美国的D.Harrison申请了用晶体管换相线路代替有刷直流电动机的机械电刷的专利,形成了现代无刷直流电动机的雏形。 在20世纪60年代初,霍尔元件等位置传感器和电子换向线路的发现,标志着真正的无刷直流电机的出现。 20世纪70年代初,德国人Blaschke提出矢量控制理论,无刷直流电机的性能控制水平得到进一步的提高,极大地推动了电机在高性能领域的应用。 1987年,在北京举办的德国金属加工设备展览会上,西门子和博世两公司展出了永磁自同步伺服系统和驱动器,引起了我国有关学者的注意,自此我国开始了研制和开发电机控制系统和驱动的热潮。目前,我国无刷直流电机的系列产品越来越多,形成了生产规模。 无刷直流电动机的发展主要取决于电子电力技术的发展,无刷直流电机发展的初期,由于大功率开关器件的发展处于初级阶段,性能差,价格贵,而且受永磁材料和驱动控制技术的约束,这让无刷直流电动机问世以后的很长一段时间内,都停

手动电动工具操作规程(正式)

编订:__________________ 单位:__________________ 时间:__________________ 手动电动工具操作规程 (正式) Standardize The Management Mechanism To Make The Personnel In The Organization Operate According To The Established Standards And Reach The Expected Level. Word格式 / 完整 / 可编辑

文件编号:KG-AO-4833-37 手动电动工具操作规程(正式) 使用备注:本文档可用在日常工作场景,通过对管理机制、管理原则、管理方法以及管理机构进行设置固定的规范,从而使得组织内人员按照既定标准、规范的要求进行操作,使日常工作或活动达到预期的水平。下载后就可自由编辑。 (1) 一般场所应选用II类手持式电动工具并应装设额定触电动作电流不大于15mA,额定动作时间小于0.1s的漏电保护器。若采用I类手持式电动工具,还必须作接零保护。操作人员必须戴绝缘手套、穿绝缘鞋或站在绝缘垫上。 (2) 在潮湿场所或金属构架上操作时,必须选用II类手持式电动工具,并装设防溅的漏电保护器。严禁使用I类手持电动工具。 (3)狭窄场所(锅炉、金属容器、地沟、管道内等)宜选用带隔离变压器的III类手持式电动工具;若选用II类手持式电动工具,必须装设防溅的漏电保护器。把隔离变压器或漏电保护器装设在狭窄场所外面,工作时并应有人监护。 (4) 手持式电动工具的负荷线必须采用耐气候型

中国电动工具在五金行业谋得一席之地

电动工具主要分为金属切削电动工具、研磨电动工具、装配电动工具和铁道用电动工具。常见的电动工具有电钻、电动砂轮机、电动扳手和电动螺丝刀、电锤和冲击电钻、混凝土振动器、电刨。 电动工具行业积极引进技术提升自身实力中国的快速发展,对外交流的全面性,展望电动工具行业,近几年也在快速发展,但是对比国外的相关企业还是处于落后的水平。专业人士觉得,要让电动工具行业迅速发展,技术是至关重要的。加上电动工具行业的特殊性,是属于高技术含量的行业,企业一定要积极引进相关技术,提升自己的实力。电动工具行业,属于先进装备制造业,并提升服务于先进制造业。电动工具被普遍应用于航天航空、高铁建设、船舶制造、汽车工业等先进装备制造业领域以及建筑道路、装饰装潢、木业加工、金属加工等生产领域。 全球电动工具行业,按照产品的技术要求、应用领域等特点,可分为工业级、专业级和DIY 家用级三个级别。其中,工业级电动工具产品主要用于对工艺精准度或作业环境保护要求很高的作业场所,如航天航空领域,具有技术要求高、利润高、市场范围小的特点;DIY家用级电动工具主要被应用于精准度要求不高和持续作业时间不长的场合,如家庭修缮、简单装修等场合,具有技术含量低、利润低的特点。 目前,中国绝大部分电动工具生产企业均在生产DIY家用级电动工具产品,产品销售主要以价格为竞争手段,整体呈现一种低端、无序的竞争状态。而专业级电动工具无论在技术含量、应用领域范围、产品附加值还是在产品毛利率方面,均远超DIY级产品。专业级电动工具本身功率大、转速高、电机寿命长,能够持续长时间重复作业,具有技术含量高、利润率高、市场范围广、行业门槛高、品牌价值高等特点。 中国国内的电动工具市场常年为德国博世、日本牧田、日本日立、美国得伟等外资品牌巨头牢牢把持。从近年趋势来看,外资品牌的国内市场占有率处于下降通道,锐奇电动工具的崛起不断扩大市场占有,替代进口品牌。在国内市场占有率前四名中,锐奇股份是最年轻的后起之秀,但却是增长最快的一支新秀,其从建厂投产至今短短七年时间,便已跻身市场占有前四,有赶超近百年历史的德国博世、日本牧田的趋势。 纵观国内电动工具行业现状,生产DIY家用级电动工具产品的企业占据了绝大多数,能够形成产品系列的专业级产品工厂极为稀少,行业集中度低,缺乏领军企业。从发展趋势来看,内资品牌之间必将经历行业洗牌,最终形成由少数领军企业统领并规范的有序局面;内资和外资品牌之间,也将重新分配市场份额,内资品牌不断替代外资品牌。 行业的内在整合需求,必将由行业的领军者来执擎。可以预见,锐奇,作为唯一的一家主营电动工具的上市公司,有机会也有能力站在资本市场的舞台上,推动中国电动工具行业的资源重组和格局重整。

基于MC33035芯片的无刷直流电机驱动系统设计

基于MC33035的无刷直流电机驱动控制系统设计 摘要 随着社会的发展和人民的生活水平提高,人们对交通工具的需求也在不断发展和提高。电动自行车作为一种“绿色产品”已经在全国各省市悄然兴起,进入千家万户,成为人们,特别是中老年人和女士们理想的交通工具,受到广大使用者的喜爱。 MC33035的典型控制功能包括PWM开环速度控制、使能控制(起动或停止) 、正反转控制和能耗制动控制。此芯片具有过流保护、欠压保护、欠流保护、又因此芯片低成本、高智能化、从而简化系统构成、降低系统成本、增强系统性能、满足更多应用场合的需要。 设计的直流无刷电机控制器是采用 MC33035 芯片控制的,以本次设计结果表明,MC33035的典型控制功能带有可选时间延迟锁存关断模式的逐周限流特性以及内部热关断等特性。电动自行车作为一种新型交通工具已经在社会上引起很大的影响并受到广大使用者的喜爱。 关键词:电动自行车,无刷直流电机,MC33035,位置传感器

THE BRUSHLESS DC MOTOR DRIVE SYSTEM DESIGN BASED ON MC33035 CHIP ABSTRACT With the rapid development of technology, new energy technologies in recent years have been widely used. For example, the small size, light weight, high efficiency, low noise, large capacity and high reliability features such as permanent magnet brushless DC motor-driven bike. MC33035 Typical control functions include open loop PWM speed control so that it can control (start or stop), reversing control and braking control. This chip is overcurrent protection, undervoltage protection, under current protection, and therefore chip cost, high intelligence, which simplifies the system structure, lower system costs, increase system performance to meet the needs of more applications. The design of the brushless DC motor controller is controlled by MC33035 chip to this design results show that, MC33035 typical time delay control with an optional latch-by-week shutdown mode current limiting characteristics, and internal thermal shutdown characteristics. Electric bicycles as a mode of transportation has caused a great impact on society and loved by the majority of users. KEY WORDS: electric-bicycle, brushless DC motor, MC33035, position sensors

手持电动工具的操作规程(最新版)

手持电动工具的操作规程(最 新版) The safety operation procedure is a very detailed operation description of the work content in the form of work flow, and each action is described in words. ( 安全管理 ) 单位:______________________ 姓名:______________________ 日期:______________________ 编号:YK-AQ-0403

手持电动工具的操作规程(最新版) 手持式电动工具是携带式电动工具,种类繁多,应用广泛。手持式电动工具的挪动性大、振动较大,容易发生漏电及其他故障。由于此类工具又常常在人手紧握中使用,触电的危险性更大,故在管理、使用、检查、维护上应给予特别重视。 一、使用与保管: 1.手持式电动工具必须有专人管理、定期检修和健全的管理制度。 2.每次使用前都要进行外观检查和电气检查。 外观检查包括: (1)外壳、手柄有无裂缝和破损,紧固件是否齐全有效; (2)软电缆或软电线是否完好无损,保护接零(地)是否正确、牢固,插头是否完好无损;

(3)开关动作是否正常、灵活、完好; (4)电气保护装置和机械保护装置是否完好; (5)工具转动部分是否灵活无障碍,卡头牢固。 电气检查包括: (1)通电后反应正常,开关控制有效; (2)通电后外壳经试电笔检查应不漏电; (3)信号指示正确,自动控制作用正常; (4)对于旋转工具,通电后观察电刷火花和声音应正常。 3.手持电动工具在使用场所应加装单独的电源开关和保护装置。其电源线必须采用铜芯多股橡套软电缆或聚氯乙烯护套电缆;电缆应避开热源,且不能拖拉在地。 4.电源开关或插销应完好,严禁将导线芯直接插入插座或挂钩在开关上。特别要防止将火线与零线对调。 5.操作手电钻或电锤等旋转工具,不得带线手套,更不可用手握持工具的转动部分或电线,使用过程中要防止电线被转动部分绞缠。

电动工具行业现状及分析

1、电动工具行业现状 电动工具是具有一定的技术含量和技术附加值的产品,它集塑料工程、机械、电机、电子控制等多种产业为一体, 与资源型产品有着本质的区别,其生产过程基本不对环境造成污染,属绿色产业,所以是近20年来国家一直鼓励生 产和出口的机电产品之一。 目前,我国电动工具行业已经成为一个外向型特征十分明显的行业,出口率高达85%以上,出口量居世界首位, 是居第二位的德国的2倍。我国已成为世界电动工具生产和出口的双料大国。 2、电动工具行业发展前景 业内人士目前对世界电动工具事业的发展都持乐观态度,相信电动工具事业是一项前景看好的产业。这是因为, 电动工具是一种“低值易耗品”,在欧美发达地区,电动工具不仅是工业系统常用工具,而且进入千家万户,成为家庭 必不可少的好帮手。据了解,在欧美发达国家和地区,每个家庭都有不同品种,不同用途的各种电动工具,有的甚至 有几套。在欧美发达国家和地区,电动工具不仅作为一种工具,而且被作为一种礼品,成为相互馈赠的礼物,因而有 较大的市场发展潜力。 3. 阿里巴巴关于“电动工具”买家分布情况 内贸方面,在alibaba买家分布中,广东、浙江、江苏买家数占59%,其市场开发潜力巨大。 4. 阿里巴巴电动工具企业概况 目前通过阿里巴巴搜索“电动工具”有95212条产品供应信息,这些企业中有很多实现了从做网站、做推广、找买家,谈生意、成交等一站式的业务模式。当前有效求购“电动工具”的信息已达到928条(数据截止 2008-10-23)。如下图所示: 阿里巴巴部分“电动工具”行业企业 公司名称合作年限公司名称合作年限 浙江新昌别克跃电动工具有限公司7 5 浙江省永康市第一钢丝轮厂7 珠海市和鸿企业有限公司7 常州市武进天力电动工具有限公司7 乐清市鸿力电子元件厂 6 武义吉顺工具有限公司7 4 上海得喜机械科技有限公司7 常州德力正清和工具有限公司 6 浙江省永康市电动工具制造有限公司 6 绍兴樱花船用机械有限公司7 5. 行业合作成功经验分享: 用贸易通聊天,40分钟能谈成生意? 公司名:永康市电动机械厂 主营产品:电动工具角磨机小电磨等 加入阿里巴巴年限:第3年 雷春柳是浙江永康市电动机械厂的销售经理。 他才28岁,大学毕业不到6年的他,却谈吐成熟老练,销售风格硬朗。

三相直流无刷电机驱动程序

1.检测霍尔传感器的值可以判断出转子的位置,再使能相应的上下桥臂,则能驱动电机运动;若要让电机持续转动,则必须再次检测传感器值及使能相应的上下桥臂。这里采用的是将霍尔传感器输出的三根线相边的IO口配置成外部中断,并且为边沿触发,在中断函数中加入传感器检测与上下桥臂切换程序,如此电机就能持续运转了。 2.上桥臂的控制采用IO口置高低电平来控制上桥臂的通断,下桥臂则使用单片机内部集成的三路PWM波来控制,通过控制PWM波的占空比,可以实现对电机的调速了。实际测得,占空比与电机的速度成正比例关系,在PWM波频率为20KHz时,占空比增加1%,速度增加60rpm,并在占空比为53%时达到额定转速3000rpm(空载)。 3.速度测量则采用如下公式: 电机每转一圈,霍尔值改变6次x5个周期=30次,记录边沿触发的中断次数N/30=电机转过的圈数,设运转时间为t(s)则电机转速v=N/30/t*60 rpm。即动转时间为2s时,霍尔值改变次数即为速度值,单位rpm。 4.调速:给定速度,由电机驱动板自动由当前速度平滑过渡到给定速度。实际测试发现,速度变化量很大时,电机会有突然加速或减速时的冲击;因此,调速应有一个缓冲的过程。即加速或减速应以小步进缓慢增加或减少占空比来让速度渐渐达到最终值。 #include "stm32f10x.h" #include "driver_motor.h" #define PWM_PERIOD_T 400 #define U_Up_On GPIOB->BSRR = GPIO_Pin_13 #define U_Up_Off GPIOB->BRR = GPIO_Pin_13 #define U_Dn_On GPIOA->BSRR = GPIO_Pin_8 #define U_Dn_Off GPIOA->BRR = GPIO_Pin_8 #define V_Up_On GPIOB->BSRR = GPIO_Pin_14 #define V_Up_Off GPIOB->BRR = GPIO_Pin_14 #define V_Dn_On GPIOA->BSRR = GPIO_Pin_9 #define V_Dn_Off GPIOA->BRR = GPIO_Pin_9 #define W_Up_On GPIOB->BSRR = GPIO_Pin_15 #define W_Up_Off GPIOB->BRR = GPIO_Pin_15 #define W_Dn_On GPIOA->BSRR = GPIO_Pin_10 #define W_Dn_Off GPIOA->BRR = GPIO_Pin_10 #define SU_HOR GPIOA->IDR & GPIO_Pin_15 #define SV_HOR GPIOA->IDR & GPIO_Pin_12 #define SW_HOR GPIOA->IDR & GPIO_Pin_11 //u8 Motor_Dir=0; //u8 Motor_EN=0;

手动电动工具操作规程

编号:CZ-GC-01068 ( 操作规程) 单位:_____________________ 审批:_____________________ 日期:_____________________ WORD文档/ A4打印/ 可编辑 手动电动工具操作规程 Operating procedures for manual electric tools

手动电动工具操作规程 操作备注:安全操作规程是要求员工在日常工作中必须遵照执行的一种保证安全的规定程序。忽视操作规程 在生产工作中的重要作用,就有可能导致出现各类安全事故,给公司和员工带来经济损失和人身伤害,严重 的会危及生命安全,造成终身无法弥补遗憾。 (1)一般场所应选用II类手持式电动工具并应装设额定触电动作电流不大于15mA,额定动作时间小于0.1s的漏电保护器。若采用I类手持式电动工具,还必须作接零保护。操作人员必须戴绝缘手套、穿绝缘鞋或站在绝缘垫上。 (2)在潮湿场所或金属构架上操作时,必须选用II类手持式电动工具,并装设防溅的漏电保护器。严禁使用I类手持电动工具。 (3)狭窄场所(锅炉、金属容器、地沟、管道内等)宜选用带隔离变压器的III类手持式电动工具;若选用II类手持式电动工具,必须装设防溅的漏电保护器。把隔离变压器或漏电保护器装设在狭窄场所外面,工作时并应有人监护。 (4)手持式电动工具的负荷线必须采用耐气候型的橡皮护套铜芯软电缆,并不得有接头。禁止使用塑料花线。 (5)使用刃具的机具,应保持刃磨锋利,完好无损,安装正确,

牢固可靠。 (6)使用砂轮的机具,应检查砂轮与接盘间的软垫并安装稳固,螺帽不得过紧,凡受潮、变形、裂纹、破碎、磕边缺口或接触过油、碱类的砂轮均不得使用,并不得将受潮的砂轮片自行烘干使用。 (7)在潮湿地区或在金属构架、压力容器、管道等导电良好的场所作业时,必须使用双重绝缘或加强绝缘的电动工具。 (8)非金属壳体的电动机、电器,在存放和使用时不应受压、受潮,并不得接触汽油等溶剂。 (9)作业前的检查应符合下列要求: a.外壳、手柄不出现裂缝、破损; b.电缆软线及插头等完好无损,开关动作正常,保护接零连接正确牢固可靠; c.各部防护罩齐全牢固,电气保护装置可靠。 (10)机具起动后,应空载运转,应检查并确认机具联动灵活无阻。作业时,加力应平稳,不得用力过猛。 (11)严禁超载使用。作业中应注意音响及温升,发现异常应立即

电动工具操作手册

电动工具操作规程 一.使用刃具的机具,应保持刃磨锋利,完好无损,安装正确,牢固可靠。 二.使用砂轮的机具,应检查砂轮与接盘间的软垫并安装稳固,螺帽不得过紧,凡受潮、变形、裂纹、破碎、磕边缺口或接触过油、碱类的砂轮均不得使用,并不得将受潮的砂轮片自行烘干使用。 三.在潮湿地区或在金属构架、压力容器、管道等导电良好的场所作业时,必须使用双重绝缘或加强绝缘的电动工具。 四. 非金属壳体的电动机、电器,在存放和使用时不应受压受潮,并不得接触汽油等溶剂。 五. 作业前的检查应符合下列要求: 1. 外壳、手柄不出现裂缝、破损; 2. 电缆软线及插头等完好无损,开关动作正常,保护接零连接正确牢固可靠; 3. 各部防护罩齐全牢固,电气保护装置可靠。 六 . 工具起动后,应空载运转,应检查并确认机具联动灵活无阻,作业时,加力应平稳,不得用力过猛。 七 . 严禁超载使用。作业中应注意声音及温度,发现异常应立即停机检查。在作业时间过长,机具温升超过 60 ℃时,应停机,自然冷却后再作业。 八 . 作业中,不得用手触摸刃具、模具和砂轮,发现其有磨钝、破损情况时,应立即停机修整或更换,然后再继续进行作业。 九. 机具转动时,不得撒手不管。 十. 使用冲击电钻或电锤时,应符合下列要求: 1 作业时应掌握电钻或电锤手柄,打孔时先将钻头抵在工作表面,然后开动,用力适度, 避免晃动;转速若急剧下降,应减少用力,防止电机过载,严禁用木杠加压; 2 钻孔时,应注意避开混凝土中的钢筋;

3 电钻和电锤为 40 %断续工作制,不得长时间连续使用; 4 作业孔径在 25mm 以上时,应有稳固的作业平台,周围应设护栏。 十一. 使用瓷片切割机时应符合下列要求: 1 作业时应防止杂物、泥尘混入电动机内,并应随时观察机壳温度,当机壳温度过高及产生炭刷火花时,应立即停机检查处理; 2 切割过程中用力应均匀适当,推进刀片时不得用力过猛。当发生刀片卡死时,应立即停机,慢慢退出刀片,应在重新对正后方可再切割。 十二.使用角向磨光机时应符合下列要求: 1 砂轮应选用增强纤维树脂型,其安全线速度不得小于 80m / S 。配用的电缆与插头应具有加强绝缘性能,并不得任意更换; 2 磨削作业时,应使砂轮与工件面保持 15 — 30度的倾斜位置;切削作业时,砂轮不得倾斜,并不得横向摆动。 十三.使用射钉枪时应符合下列要求: 1 严禁用手掌推压钉管和将枪口对准人; 2 击发时,应将射钉枪垂直压紧在工作面上,当两次扣动扳机,子弹均不击发时,应保持原射击位置数秒钟后,再退出射钉弹; 3 在更换零件或断开射钉枪之前,射枪内均不得装有射钉弹。 十四.使用拉铆枪时应符合下列要求: 1 被铆接物体上的铆钉孔应与铆钉滑配合,并不得过盈量太大; 2 铆接时,当铆钉轴未拉断时,可重复扣动扳机,直到拉断为止,不得强行扭断或撬断; 3 作业中,接铆头子或并帽若有松动,应立即拧紧。

直流无刷电机及驱动器介绍

技术部 直流无刷电机及驱动器介绍 ---培训讲义 编制/整理:徐兴强 日期:2010-5-5

一、产品技术特点 1)既具有AC电机的优点:结构简单,运行可靠,维护方便等; 2)又具有DC电机的优点:调速性能好,运行效率高,无励磁损耗等; 3)同时,与DC有刷电机比较:无接触磨损,无火花,低噪音,无辐射干扰等;4)再有,与伺服电机比较:控制/驱动原理较简单,可灵活多变,且成本较低;有较高的成套性价比,实用性很强。 主要缺陷:低速启动时,有轻微震动;但不会失步(比较于步进电机)。 二、主要应用方面 1)在精密电子设备和器械中的应用 如:电脑硬盘的主轴驱动,激光打印机,复印机,医疗器械,卫星太阳能帆板驱动,医疗监控设备等。 2)在家用电器中的应用 如:空调器、洗衣机、电热器、吸尘器、电风扇、搅拌机等。 3)在电瓶车/牵引机中的应用 4)在工业系统中的应用 如:工业缝纫机、纺织印花机、等等;

5)在军事工业和航空航天中的应用 三、特殊功能与性能分析 # 典型特性曲线,如下: ##由以上特性曲线可知: 1)电机的最大转矩为启动和堵转时的转矩; 2)在同一转速下,改变供电电压,可以改变电机的输出转矩; 3)在相同转矩时,改变供电电压,可以改变电机的转速。 即:在驱动电路中,通过PWM方式改变供电电压的平均值,在保证转矩不变的情况下,可以实现对电机的平稳调速。 ###BLDC与AC交流感应式电机相比,具有如下优点: 1)转子采用永磁体,无需激励电流。故,同样的电功率,可以获得更大的机械功率; 2)转子无铜损,无铁损,发热更小; 3)启动、堵转时力矩大,更适合于阀门打开、关闭瞬间需要力矩大的场合; 4)电机的输出力矩与工作电压、电流成正比,从而可以简化力矩的检测电路,并更加可靠; 5)利用PWM调制方式改变供电电压的平均值,可以实现平稳调速,使调速、驱动功率电路更加简单,综合成本降低;

手持电动工具安全操作正式版

Guide operators to deal with the process of things, and require them to be familiar with the details of safety technology and be able to complete things after special training.手持电动工具安全操作正 式版

手持电动工具安全操作正式版 下载提示:此操作规程资料适用于指导操作人员处理某件事情的流程和主要的行动方向,并要求参加施工的人员,熟知本工种的安全技术细节和经过专门训练,合格的情况下完成列表中的每个操作事项。文档可以直接使用,也可根据实际需要修订后使用。 一、通用安全操作规程 1、工具使用前应着重检查各部位是否完好无损,以及使用中可能出现的危险和相应的防护措施。 2、工具在使用前,操作者应认真阅读产品使用说明书或安全操作规程。详细了解工具的性能和掌握正确的使用方法。 3、在一般作业场所,应尽可能使用Ⅱ类工具,使用Ⅰ类工具时还应采取漏电保护器,隔离变压器等保护措施。 4、在潮湿作业场所或金属构架等导电性能良好的作业场所,应使用Ⅱ类或Ⅲ类

工具。 5、在锅炉、金属容器、管道内等作业场所,应使用Ⅲ类工具,或装设漏电保护器的Ⅱ类工具。Ⅲ类工具的安全隔离变压器,Ⅱ类工具的漏电保护器及Ⅱ、Ⅲ类工具的控制箱和电源联接器等必须放在作业场所的外面,在狭窄作业场所应有人在外监护。 6、在湿热、雨雪等作业环境,应使用具有相应防护等级的工具。 7、Ⅰ类工具电源线中的绿/黄双色线在任何情况下只能用作保护线。 8、工具的电源线不得任意接长或拆换,当电源离工具操作点距离较远而电源线长度不够时,应采用耦合器进行联接。

电动工具国内发展史

电动工具国内发展史 1.石岩港资捷和百得 2.东莞美资TTi 电动工具用户指南 1. 电钻、冲击钻和电锤之间有何不同? 电钻只具备旋转方式,特别适合于在需要很小力的材料上钻孔,例如软木、金属、砖、瓷砖等。冲击钻依靠旋转和冲击来工作。单一的冲击是非常轻微的,但每分钟40000多次的冲击频率可产生连续的力。冲击钻可用于天然的石头或混凝土。它们是通用的,因为它们既可以用“单钻”模式,也可以用“冲击钻”模式,所以对专业人员和自己动手者,它都是值得选择的基本电动工具。电锤依靠旋转和捶打来工作。单个捶打力非常高,并具有每分钟1000到3000的捶打频率,可产生显著的力。与冲击钻相比,电锤需要最小的压力来钻入硬材料,例如石头和混凝土;特别是相对较硬的混凝土。 2. 电钻和冲击钻之间有何重要不同? 电钻具有一个永久固定在其轴承上的主轴,这样可以保证很高的同心度。旋转速度被优化,因此可以更好地在金属上钻孔。冲击钻有一个可在其轴承上移动的主轴,所以同心度自然就没有钻好。冲击钻的旋转速度通常较高,因为它们设计用来在石头上钻孔,这需要较高的冲击频率。 3. 电钻可用于起螺钉吗? 偶尔情况下,自己动手者可用钻(最好具有电子调速和功率控制)在木头上起螺钉。对专业人员来说,使用钻来起螺钉是不经济的,专门的螺丝起子产生的效果质量好,并能节约时间。 4. 哪些情况下你不能使用电钻来起螺钉? 对所谓的硬螺钉联接(金属和金属,螺栓,螺母)来说,突然产生的扭紧力矩会破坏螺钉联接,损坏机器,伤害用户。

5. 速度和加工材料间有何相互关系? 加工的材料越软,速度就越高。材料越硬,速度就越低。因为不同的材料其硬度是不同的,所以你应当确保为相应的材料和钻孔直径选择正确的速度。在专业手册中你可以找到详细的带有经验值的应用表,表中给出的速度值应当认为是简化了的标准值,当进行手工操作时能获得好的效果。其它的速度适用于专用钻和空心钻。你应当按照包装上或操作说明书里提供的建议,如果你的钻孔速度不能精确调整到说明值,请使用下一个有效的值。 6. 如何保养电锤才会使它更耐用? 防尘帽要定期更换。在更换碳刷时,最好对电锤的机械部分进行保养,更换润滑油和主要的O 型圈。出风口的位置要保持畅通。在使用过程中不要外加很大的力,钻深孔需分几次完成。 电动工具国内发展史 1942年,由当时的大威电机厂仿美国的“香槟”牌电钻制造了6MM,13MM的电钻,开创了我国生产电动工具的历史。 在1954年成为我国第一家专业电动工具制造厂。 从1974年我国进行了单相串激电动工具联合设计,经过2年多的努力试制成了双重绝缘单相串激电钻,双重绝缘单相串激角向磨光机,手持式直向砂轮机三个系列以及双重绝缘单相串激模具电磨,曲线锯等20个品种规格的产品。 1976年开始筹建国家级的科研试验基地“中国电动工具检测中心”,“中国电工产品认证委员会电动工具认证站”于1985年通过验收,从此我国可以按照国际标准和国家标准,专业标准,对国内外各类电动工具的功能参数,安全,噪声,无线电干扰等各种质量参数进行全面的鉴定试验,形式认可,安全认证。 1980年~1985年,电动工具制造业持续高速发展了五年后进入了平稳发展。 1987年以后,许多国外知名品牌的电动工具纷纷抢滩中国,并在我国建立生产工具基地,如:德国BOSCH博世电动工具在浙江杭州,日本牧田电动工具在江苏昆山,日本日立电动工具在上海合资上海日立“龙牌”,在广东番禹生产“日立”和福建合作生产“闽日”牌电动工具,美国得伟电动工具在江苏苏州,“喜利得”电动工具在广东湛江。 目前市场上销售及信誉较好的电动工具无外乎这些品牌。

相关文档
相关文档 最新文档