文档库 最新最全的文档下载
当前位置:文档库 › 数电课程设计.电子科技大学

数电课程设计.电子科技大学

数电课程设计.电子科技大学
数电课程设计.电子科技大学

数字设计课程设计报告

刘鑫2010084030012

一、设计题目:

试设计一个“sticky-counter”状态机,有8个计数状态S0~S7,按照二进制计数顺序赋值。除时钟信号外,该状态机有两个输入信号,RESET和ENABLE,以及两个输出信号,DONE和BACK。一旦RESET有效时,状态机回到S0态,RESET无效并且ENABLE 有效时,状态机进入计数状态。计数的顺序按照“朝前进两步,向后退一步”的模式。

当计数到S7时,就不朝后退了,并且保持在此状态,直到RESET有效,才重新开始下一次的计数;若在状态S7时,ENABLE有效,DONE输出为1。ENABLE有效,且在下一时钟触发沿向后退行计数时,BACK有效。

二、设计思路

a)将题目看做两个循环,一个大循状态共八种s0,s1,s2,s3,s4,s5,s6,s7。小循环来控制

中间状态的退步还是前进。小循环用74x163来实现,构成一个模3(000~010)的

计数器,设进位输出(RCO)为k,当k有效时,状态向后退一步。如图所示:

b)运用三个触发器组成的状态机来实现各个状态间的转换,状态图和状态转移输出表如图所示:

利用卡诺图求解,解得:

D0=Q0*=R’K’Q1Q0+R’K’Q2+R’Q2Q1+R’Q2Q1’Q0

D1=Q1*=R’Q2’Q1’Q0+R’K’Q2Q0+R’K’Q1Q0’+R’KQ1Q0+R’KQ2Q1’Q0’D2=Q2*=R’Q0’+R’Q2Q1

DONE=Q2Q1Q0

BACK=(Q2Q1Q0)’K

三、实验原理图:

四、实验仿真结果:

五、心得体会:

通过本次课程设计,更加了解了D触发器、74x163的应用,学会了状态机的设计,但是感觉我的设计有些复杂,还有很多改进的地方。

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

数电模电数字时钟设计

扬州大学能源与动力工程学院本科生课程设计 题目:数字时钟设计 课程:数字电子技术基础 专业:电气工程及其自动化 班级:电气8888班 学号: 111712345 姓名:提莫队长 指导教师:年** 蒋** 完成日期: 2013年6月14日

总目录第一部分:任务书 第二部分:课程设计报告 第三部分:设计图纸

第一部分 任 务 书

《数字电子技术基础》课程设计任务书 一、课程设计的目的 本课程是在学完《数字电子技术基础》、《数字电子技术实验》之后,集中一周时间,进行的复杂程度较高、综合性较强的设计课题的实践环节,通过该教学环节,要求达到以下目的: 1.使学生进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力; 2.使学生基本掌常用电子电路的一般设计方法,提高电子电路的设计和实验能力; 3.熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。 二、课程设计的要求 1.设计时要综合考虑实用、经济并满足性能指标要求; 2.必须独立完成设计课题; 3.合理选用元器件; 4.按时完成设计任务并提交设计报告。 三、课程设计进度安排 1、方案设计;(半天) 根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。 2、电路设计:(一天) 根据方案设计框图,并画出详细的逻辑图 3、装配图设计:(半天) 根据给定的元器件,结合逻辑图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。同时配以必要的文字说明。 4、电路制作:(两天) 对选定的设计,按装配图进行装配,调试实验。 5、总结鉴定:(一天) 考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报。 四、设计题目及内容 1、题目:数字时钟电路 2、内容: (1)具有“时”“分”的数字显示时钟; (2)“秒”不作数字显示,只使“时”和“分”之间“:”间隔闪亮; (3)具有校分和校时功能; (4)具有整点报时功能(59分50秒开始间歇报时)。 五、设计要求 1、用中小型规模集成电路设计出所要求的电路; 2、在实验箱上安装、调试出所设计的电路; 3、部分课题要求用可编程逻辑器件(FPGA/CPLD)设计实现; 4、在EDA编程实验系统上完成硬件系统的功能仿真; 5、写出设计、调试、总结报告。 六、器件与器材

电子科技大学期末数字电子技术考试题a卷-参考答案教学内容

电子科技大学二零零九至二零一零学年第 二 学期期 末 考试 数字逻辑设计及应用 课程考试题 A 卷(120分钟)考试形式:闭卷 考试日期2010年7月12日 课程成绩构成:平时 20 分, 期中 20 分, 实验 0 分, 期末 60 分 一、To fill your answers in the blanks (1’×25) 1. If [X]10= - 110, then [X]two's-complement =[ 10010010 ]2, [X]one's-complement =[ 10010001 ]2. (Assumed the number system is 8-bit long) 2. Performing the following number system conversions: A. [10101100]2=[ 000111010010 ]2421 B. [1625]10=[ 0100100101011000 ]excess-3 C. [ 1010011 ]GRAY =[ 10011000 ]8421BCD 3. If ∑=C B A F ,,)6,3,2,1(, then F D ∑=C B A ,,( 1,4,5,6 )=C B A ,,∏(0,2,3,7 ). 4. If the parameters of 74LS-series are defined as follows: V OL max = 0.5 V , V OH min = 2.7 V , V IL max = 0.8 V , V IH min = 2.0 V , then the low-state DC noise margin is 0.3V ,the high-state DC noise margin is 0.7V . 5. Assigning 0 to Low and 1 to High is called positive logic. A CMOS XOR gate in positive logic is called XNOR gate in negative logic. 6. A sequential circuit whose output depends on the state alone is called a Moore machine. 7. To design a "001010" serial sequence generator by shift registers, the shift register should need 4 bit as least. 8. If we use the simplest state assignment method for 130 sates, then we need at least

电子秒表课程设计

课程设计 题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目:电子秒表的设计与制作 初始条件: (1)计数精度可达1/100秒 (2)可显示时间99.99秒 (3)具有开关可启动,暂停,清零功能 选作:设计可改变计时时间(最大59.99秒)的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~1月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

目录 摘要 (4) 电子秒表的设计与制造 (5) 1 课题分析 (5) 2系统设计方案的选择 (5) 3 电子秒表系统主体流程框图 (6) 4 单元电路的设计 (7) 4.1脉冲产生电路 (7) 4.2 计数电路 (8) .3 译码显示电路 (9) 4.4 控制电路 (10) 5 仿真测试 (10) 6 电子秒表设计原理图 (11) 7 结束语 (11) 参考文献 (12) 附录 (13) 附录一:选作:设计可改变计时时间的电路 (13) 附录二:74LS290功能表 (15) 附录三:74LS48功能表 (15)

摘要 电子秒表是一种数字显示计时装置,由于它走时准,设计简单,显示直观,因此被广泛运用于科学研究,体育运动,国防等方面。比如对物体速度,加速度的测量,体育比赛的时间的测量等。 数字电子秒表由组合逻辑电路和时序逻辑电路组成,555定时器组成多谐振荡器产生脉冲,在脉冲控制下的组合计数器电路通过一系列的触发产生数字信号,数字信号经译码器译码后输入到显示数码管显示时间。 电子秒表的广泛应用提高了人们的工作效率,随着电子技术的发展,电子秒表的精度,电路简易型等到了很大的提高,功能得到了完善。 关键词:秒表定时器效率

照明课程设计报告

, 扬州大学能源与动力工程学院本科生课程设计 《 题目: 2号办公楼的电气照明施工设计 课程:电气照明 专业:建筑电气与自动化 班级:智能0801 ! 姓名: 指导教师:李新兵于照 完成日期: 2012年1月13日

电气照明课程设计任务书 一、设计课题 , 某建筑(指定)电气照明施工图设计 二、工程概况 详各建筑图建筑说明。 三、原始资料 1、各工程土建施工图纸一套(另附) 2、国家标准及相应设计规范。 四、课题要求 1、设计原则:国家现行有关电气设计规范及主管部门规定等。 " 2、设计范围:电气照明平面布置、照明电气设计、接地与电气安全等 3、设计成果:课程设计报告(设计说明书及计算书等) 电气施工图(系统图、平面图等) 4、设计要求:按工程施工设计深度要求设计、出图,全部图纸要求采用计算机 绘制。 五、日程安排 本次课程设计时间共周,进度安排如下: 设计准备,熟悉有关电气设计规范,熟悉本工程土建图及其它所提条件,绘制电气设计条件图。(1天) 照明平面布置、电气初步设计。(2天) , 照明计算、负荷计算。(1天) 电气施工图设计、绘图,要求采用计算机绘制电气施工图。(3天) 整理计算书及图纸、写课程设计报告。(1天) 六、主要参考书 1、GB50054—95 《低压配电设计规范》国标 1996年 2、GBJ50034—2004 《建筑照明设计标准》国标 2004年 3、JGJ16—2008 《民用建筑电气设计规范》行业标准 2008年 4、吕光大主编《建筑电气安装工程图集》(第2版)1、2、3集中国电力出版社 ; 5、照明设计手册(第二版) 北京照明学会中国电力出版社 6、《工厂常用电气设备手册》(第2版)上、下册中国电力出版社

电子科大数字电路,期末试题0708-2半期考试

………密………封………线………以………内………答………题………无………效…… 电子科技大学二零零七至二零零八学年第二学期期中考试 “数字逻辑设计及应用”课程考试题 期中卷(120分钟)考试形式:闭卷 考试日期 2008年4月26日 课程成绩构成:平时 20 分, 期中 20 分, 实验 0 分, 期末60 分 1-1.与十进制数 (0. 4375 )10 等值的二进制数表达是 ( A ) A. ( 0.0111 ) 2 B. ( 0.1001 ) 2 C. ( 0.0101 ) 2 D. ( 0.01101 ) 2 1-2. 与十六进制数(FD .A )16等值的八进制数是( A )8 A. ( 375.5 )8 B. ( 375.6 )8 C. ( 275.5 )8 D. ( 365.5)8 1-3.与二进制数(11010011) 2 对应的格雷码表达是 ( C ) Gray A. ( 11111010 ) Gray B. (00111010 ) Gray C. ( 10111010 )Gray D. (11111011 ) Gray 1-4.下列数字中与(34.42)8 相同 的是( B ) A.(011010.100101)2 B.(1 C.88)16 C.(27.56)10 D.(54.28)5 1-5.已知[A]补=(10010011),下列表达式中正确的是( C ) A. [–A]反=(01101100) B. [A]反=(10010100) C. [-A]原=(01101101) D. [A]原=(00010011) 1-6.一个十六路数据选择器,其选择控制输入端的数量为( A ) A .4个 B. 6个 C. 8个 D. 3个 1-7.四个逻辑相邻的最小项合并,可以消去( B )个因子。 A. ( 1 ) B. ( 2 ) C. ( 3 ) D.( 4 ) 1-8.设A 补=(1001),B 补=(1110),C 补=(0010),在下列4种补码符号数的运算中,最不可能产生溢出的是 ( D ) A. [A-C]补 B. [B-C]补 C. [A+B]补 D. [B+C]补 1-9.能够实现“线与”的CMOS 门电路叫( D ) A. ( 与门 ) B. ( 或门 ) C. (集电极开路门) D. (漏极开路门) 1-10.CMOS 三输入或非门的实现需要( C )个晶体管。 A. ( 2 ) B. ( 4 ) C. ( 6 ) D. ( 8 ) 1-11.三态门的三个输出状态分别为:逻辑“1”、逻辑“0”和( C ) A. (短路) B. ( 5V ) C. (高阻) D. ( 0.3V ) 1-12.与()x y xz ''+等价的逻辑关系为( D ) A. XYZ B. XY ’+XZ ’ C. XY ’+X ’Z ’ D. XY ’Z

电子秒表课程设计报告

电子信息工程专业10级学生单片机原理课程设计任务书 电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、设计方案分析

1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H-33H中。其中31H存放分钟变量,32H存放秒钟变量,33H存放10ms计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。显示时,先取出31H -33H某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 INT中断完成,定时溢出中断周期为1ms,当一处中断后向CPU 计时通过1 发出溢出中断请求,每发出一次中断请求就对毫秒计数单元进行加一,达到10次就对十毫秒位进行加一,依次类推,直到4.59.99秒重新复位。 再看按键的处理。这两个键可以采用中断的方法,也可以采用扫描的方法来识别。复位键主要功能在于数值复位,对于时间的要求不是很严格。而开始和停止键则是用于对时间的锁定,需要比较准确的控制。因此可以对复位按键采取扫描的方式。而对开始和停止键采用外部中断的方式。 设计中包括硬件电路的设计和系统程序的设计。其硬件电路主要有主控制 器,显示电路和回零、启动、查看、停表电路等。主控制器采用单片机STC89C52,

扬州大学电力电子课程设计

电力电子技术课程设计 班级建电1102班 学号 111705204 姓名傅亦舒 扬州大学能源与动力工程学院 二零一三年十二月

目录 第一章课程设计报告题目 (3) 第二章课程设计内容 (3) 第三章 BUCK变换器的工作原理 (3) 第一节电路原理图 (3) 第二节电路理想波形 (4) 第四章主电路的参数设置 (5) 第五章建立仿真模型 (6) 第一节直流降压斩波变换电路仿真模型图 (6) 第二节仿真结果 (6) 第六章仿真结果分析 (9) 第七章结论 (10) 第八章参考文献 (11)

第一章课程设计报告题目 Buck变换器研究。 第二章课程设计内容 1 主电路方案确定 2 绘制电路原理图、分析理论波形 3 器件额定参数的计算 4 建立仿真模型并进行仿真实验 6 电路性能分析:输出波形、器件上波形、参数的变化、谐波分析、故障分析等 第三章 Buck变换器的工作原理 第一节电路原理图 降压斩波电路的原理图如图a)所示。该电路使用一个全控型器件V,也可使用其他器件,若采用晶闸管,需设置使晶闸管关断的辅助电路。在图中,为在V关断时给负载中电感电流提供渠道,设置了续流二极管VD。斩波电路主要用于电子电路的供电电源,也可拖动直流电动机或蓄电池负载等。 如图a): t=0时刻驱动V导通,电源E向负载供电,负载电压uo=E,负载电流io按指数曲线上升。 t=t1时控制V关断,二极管VD续流,负载电压uo近似为零,负载电流呈指数曲线下降。

通常串接较大电感L 使负载电流连续且脉动小。 第二节 电路理想波形 由图b)中的V 的栅射电压G u 波形可知,在0=t 时刻驱动V 导通,电源E 向负载供电,负载电压E U =0,负载电流0i 按指数曲线上升。当1t t =时刻,控制V 关断,负载电流经二极管VD 续流,负载电压0U 近似为零,负载电流呈指数曲线下降。为了使负载电流连续且脉动小,通常串接L 值很大的电感。至一个周期T 结束,再驱动V 导通,重复上一周期的过程。当 c) 电流断续时的波形 E V + - M R L VD i o E M u o i G t t t O O O b)电流连续时的波形 T E i G t on t off i o i 1 i 2 I 1 I 2 t 1 u o O O O t t t T E E i G i G t on t off i o t x i 1 i 2 I 20 t 1 t 2 u o E M a) 电路

电子科技大学数字无线电课后习题答案

作业 1:FM 对讲机中接收机的设计 系统参数:射频频率: 433MHz
信号带宽:15KHz (频偏 ?7.5KHz ,音频带宽 3.4KHz ) 调制方式:FM 要求:1. 给出一个可实现的系统结构 2. 设计系统各级的主要参数(如滤波器、振荡器等) 3. 画出各级的频谱结构
答案: 1. 二次变频超外差式接收机系统:
f0 ? 433MHz B ? 20MHz
f IF1
?
49.5MHz
f0 ? 49.5MHz B ? 100KHz
fIF2 ? 450KHz
f0 ? 450KHz B ? 15KHz
fLO1 ? 383.5MHz 或 482.5MHz
fLO2 ? 48.05MHz 或 49.95MHz
2.
15KHz
433
f (MHz)
334 383.5 433 482.5 532 f (MHz)

47.5 48.05 49.5 49.95 50.4 f (MHz)
450
f (KHz)

1.根据下图所示的数字基带接收机电路和 A 点给定的信号频谱, 画出图中 B、C、D、E、F 点的信号频谱。
cos?0t
?
e j?0t
? e? 2
j?0t
A
sin ?0t
?
e
j?0t
? e? 2j
j?0t
cos?ot
LPF
LPF
-sin?ot
zBI(t)
zBI(n)
B
C zBQ(t)
A/D
D
DSP
E
A/D
zBQ(n)
fs=2.5Bs
zB(n)= zBI(n) +j zBQ(n)
F
A点信号频谱:
X(f)
1
Bs
0.5
? f0 ? Bs ? f0
0
f0 f0 ? Bs f (Hz)
答案:
B点信号频谱: 经LPF滤除
?2 f0
ZBI ( f ) 1 0.5
?Bs 0 Bs
经LPF滤除
2 f0 f (Hz)
C点信号频谱:
j ? ZBQ ( f ) 1
0.5
?Bs
0 Bs
f (Hz)

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

扬州大学plc电气控制及可编程电气控制技术课程设计报告自动门

扬州大学水利与能源动力工程学院本科生课程设计 课程:电气控制及可编程控制器课程设计 专业:建筑电气与智能化 班级: 学号: 姓名: 指导老师:李新兵、蒋步军 完成日期:2014年6月27日

目录 一、任务书 (2) (一).题目 (2) (二).概况及控制要求 (2) 二、控制方式选择 (2) (一).控制方式比较 (2) (二).PLC型号的选择 (2) 1. 功能要求 (3) 2. 价格要求 (3) 3. 个人喜好要求 (3) 三、PLC编程思路分析 (3) (一).实现方法 (3) (二).方案比较 (4) 四、外部接线的设计 (4) (一).I/O接线图 (4) (二).控制流程 (5) 五、软件设计 (5) (一).流程图 (5) (二).梯形图 (6) (三).指令语句 (7) 六、总结体会 (8) 参考文献 (9)

一、任务书 (一).题目 自动门控制系统设计 (二).概况及控制要求 在商店,银行等入口,经常使用感应自动门,有人出入时能够自动打开和关闭大门。 有人接近时,门应自动打开。 门打开后,应保持开状态,直到门的通道上已无任何人为止。 如果门的通道上已无任何人,门必须在很短的时间里自动关闭。 设置功能选择控制开关:○1常开,常关,自动三种状态;○21只能进,只能出。 门故障时,蜂鸣器发出报警信号。 二、控制方式选择 (一).对于选用的控制方式比较 对继电控制,单片机控制,PLC控制进行比较 继电控制常常适用于高压电路且控制方式比较简单的电路中,设计复杂的开关过程 时电路复杂,且体积大,噪声大,门的开关不需要那么大的控制电路,编程也过于复杂,不能选用。 单片机的稳定性不好,门的控制要求一定的抗干扰性,安全性,不能选用。 Plc有小型化,稳定性好,编程简单的特点,故选用PLC控制。 (二).PLC型号的选择 对于PLC型号的选择问题,则有以下几个方面要考虑:

大规模数字成电路习题解答

自我检测题 1.在存储器结构中,什么是“字”?什么是“字长”,如何表示存储器的容量? 解:采用同一个地址存放的一组二进制数,称为字。字的位数称为字长。习惯上用总的位数来表示存储器的容量,一个具有n字、每字m位的存储器,其容量一般可表示为n ×m位。 2.试述RAM和ROM的区别。 解:RAM称为随机存储器,在工作中既允许随时从指定单元内读出信息,也可以随时将信息写入指定单元,最大的优点是读写方便。但是掉电后数据丢失。 ROM在正常工作状态下只能从中读取数据,不能快速、随时地修改或重新写入数据,内部信息通常在制造过程或使用前写入, 3.试述SRAM和DRAM的区别。 解:SRAM通常采用锁存器构成存储单元,利用锁存器的双稳态结构,数据一旦被写入就能够稳定地保持下去。动态存储器则是以电容为存储单元,利用对电容器的充放电来存储信息,例如电容器含有电荷表示状态1,无电荷表示状态0。根据DRAM的机理,电容内部的电荷需要维持在一定的水平才能保证内部信息的正确性。因此,DRAM在使用时需要定时地进行信息刷新,不允许由于电容漏电导致数据信息逐渐减弱或消失。 4.与SRAM相比,闪烁存储器有何主要优点? 解:容量大,掉电后数据不会丢失。 5.用ROM实现两个4位二进制数相乘,试问:该ROM需要有多少根地址线?多少根数据线?其存储容量为多少? 解:8根地址线,8根数据线。其容量为256×8。 6.简答以下问题: (1)CPLD和FPGA有什么不同? FPGA可以达到比CPLD更高的集成度,同时也具有更复杂的布线结构和逻辑实现。FPGA更适合于触发器丰富的结构,而CPLD更适合于触发器有限而积项丰富的结构。 在编程上FPGA比CPLD具有更大的灵活性;CPLD功耗要比FPGA大;且集成度越高越明显;CPLD比FPGA有较高的速度和较大的时间可预测性,产品可以给出引脚到引脚的最大延迟时间。CPLD的编程工艺采用E2 CPLD的编程工艺,无需外部存储器芯片,使用简单,保密性好。而基于SRAM编程的FPGA,其编程信息需存放在外部存储器上,需外部存储器芯片,且使用方法复杂,保密性差。 (2)写出三家CPLD/FPGA生产商名字。 Altera,lattice,xilinx,actel 7.真值表如表T5.7所示,如从存储器的角度去理解,AB应看为地址,F0F1F2F3应看为数据。 表T5.7

课程设计电子秒表

通信与信息工程学院 2015/2016 学年第 1 学期 课程设计II实验报告设计题目 电子秒表 任务要求基本要求 A.设计秒表功能,精度为0.01秒。 B.可同时记录和存储10个秒表数据(连续记录并显示已存储记录数,秒表记录数据查询和清除功能)。 发挥部分: A.设计PC和430之间的串行口通信程序(参考USB通信实验)和通信协议,通过USB串行口向PC机上报秒表数据。 实验设备 及软件 计算机;MSP-EXP430F5529开发板;IAR开发软件

目录 一.设计要求和原理说明 1.1 设计要求 A.设计秒表功能,精度为0.01秒。 B.可同时记录和存储10个秒表数据(连续记录并显示已存储记录数,秒表记录数据查询和清除功能)。 1.2 原理说明 本次课程设计利用430单片机的定时器/计数器定时和计数原理,实现电子秒表的精确计时。利用中断系统实现计数开始和数据记录,并在LED显示屏上显示。当接通电源即对开发板、按键和定时器初始化。初始状态下计时器显示00.00,当按下S2键时,外部中断I N T1向CPU发出中断请求,CPU转去执行外部中断1服务程序,即开启定时器。计时采用定时器T中断完成,秒表要求的精度为0.01秒,故设定定时溢出中断周期为10m s,当一处中断后向CPU发出溢出中断请求,每发出一次中断请求就对毫秒计数单元进行加一,达到10次就对十毫秒位进行加一。依次类推,直到99.99秒重新复位。 当按下S1键,停止内部中断,执行外部中断处理程序,即记录当前计时结果,并显示在LCD显示屏上。记录完成才允许其他中断。LCD屏一次允许显示十个数据,当记满十组数据时回到第一行继续显示后面的数据。 当再次按下S2键,数据清零。 二.系统硬件设计 2.1按键输入模块设计 本次设计具有三个功能:启动定时器,计时和清零。对S2键设计实现启动定时器和清零功能。对S1键设计实现计时功能。 当按下S2键时,外部中断I N T1向CPU发出中断请求,CPU转去执行外部中断1服务程序,即开启定时器。计时采用定时器T中断完成,秒表要求的精度为0.01秒,故设定定时溢出中断周期为10m s,当一处中断后向CPU发出溢出中断请求,每发出一次中断请求就对毫秒计数单元进行加一,达到10次就对十毫秒位进行加一。依次类推,直到99.99秒重新复位。 当按下S1键,停止内部中断,执行外部中断处理程序,即记录当前计时结果,并显示在LCD显示屏上。记录完成才允许其他中断。LCD屏一次允许显示十个数据,当记满十组数据时回到第一行继续显示后面的数据。 当再次按下S2键,数据清零。 2.2点阵LCD液晶显示模块 在该电路中,液晶为102×64点阵LCD,采用SPI模式实现数据的传输,在该电路中数据传输是单向的,数据只允许写入。在初始化时,调节命令中PM(0~63)的数值就可调节液晶显示对比度;该液晶背光为LED背光,通过

电子秒表课程设计

电子秒表课程设计报告 目录 一、设计要求 (2) 二、设计的目的与作用 (2) 三、设计的具体体现 (2) 1. 电子秒表的基本组成 (3) 2.电子秒表的工作原理 (3) 3.电子秒表的原理图 (4) 4. 单元电路设计 (4) 5.设计仿真与PCB制版 (12) 四、心得体会 (17) 五、附录 (18) 六、参考文献 (20)

一、设计要求 1.以0.01秒为最小单位进行显示。 2.秒表可显示0.01~59:59:99秒的量程。 3.该秒表具有清零、开始计时、停止计时功能。 二、设计方案 方案一:通过单片机来实现电子秒表 基于51单片机电子秒表,设计简单,而且技术准确,缺点是价格相比于数字电路实现的秒表技术要昂贵。 方案二:采用数字电路来实现秒表计数,优点是价格便宜,计数精确,反应较快,缺点是,电路芯片较多,设计电路复杂。 经过比较选择了较为经济适用的数字电路。 二、设计的目的与作用 1.培养我们运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计和研究成果。 2. 熟悉555方波振荡器的应用。 3.熟悉计数器的级联及计数、译码、显示电路的整体配合。

4.建立分频的基本概念。 三、设计的具体体现 1.电子秒表的基本组成 电子秒表电路的基本组成框图如图所示,它主要由基本RS 触发器、多谐振荡器、计数器和数码显示器4个部分组成。 电子秒表电路的基本组成(方框图)如下: 图(1)电子秒表基本组成方框图 2.电子秒表的工作原理 由555定时器构成多谐振荡器,用来产生50Hz 的矩形波。第Ⅰ块计数器作5分频使用,将555输来的50Hz 的脉冲变为0.1秒的计数脉冲,在输出端Qd 取得,作为第2块计数器的始终输入,第2、第3块计数器QA 与CP2相连,都已接成8421码十进 基本RS 触发器 多谐振荡器 单稳态触发器 计数器 译码显示器

扬州大学课程设计报告

求一个延时设计电路 该设计电路要求为: 1、当接通开关,输出端立刻输出一个高电平(+12V左右) 2、当开关断开时,输出端的高电平消失 3、在开关首次断开的在6分钟内,开关接通时电路仍然输出低电平,和没接通一样 选用普通的电路元件即可,不用单片机程序控制,如果谁知道请告诉我一下,很感谢,这个电路我想好久了,一直没想出,心里挺急的,急切盼望能得到回复,谢谢! 这是典型的空调机再启动保护电路,也可以用于电冰箱再启动保护, 同样可以用于抽湿机的再启动保护、冷库压缩机保护。 过去都是用继电器逻辑电路来实现,延时用气囊延时继电器,改革开放前国内就正式生产,现在产量少很多,依然有生产。用电容-电阻延时电路,加上分立元器件的电子逻辑电路也可以满足要求。 这是最基础的时间顺序控制,简称时序电路。一般的单片机仿真器、编程器要一千元以上一套,也可以自制简易的仿真、编程电路板。用单片机编写程序最容易;自己独立重新设计基础电路才能真实提高基础能力。这类控制如果用通用数字集成电路,无非就是RS触发器、JK触发器、D触发器、锁存器、逻辑门。一般在工业基础稍好一点的地区,在机电批发市场、在机电五金商铺集中地段,常常能见到用低压电器、继电器、接触器、开关、按钮等等组装电气控制柜的铺面,你可以去交钱学习,可以到书店查找基础书籍,可以上网搜索下载相关资料。本人为你设计了一个基础的电路,要一个常开手动按钮启动、一个常闭手动按钮关闭、一个有两组独立的常开触头的继电器、二个三极管、两个电解电容器、四个电阻、一个二极管。你自己设计的时候,先去熟悉最基础的,由一个常开手动按钮启动、一个常闭手动按钮关闭、一个有一组独立的常开触头自锁的继电器构成的最小控制器,然后将手动按钮启动通过电阻-电容记快充电、慢放电、记忆保持三极管开关控制继电器;继电器吸合后有一路电阻-电容记慢充电、慢放电、记忆保持三极管开关,反馈到前面旁路前面的三极管基极。更进一步,是将启动按钮上电用一个新增独立的继电器吸合,启动按钮施放时候,使另一个新增独立的继电器吸合,再组合修改线路后,就完全达到你的要求耶。 推荐一下烟雾和气体传感器 几十年前流行过可燃气体传感器,因为一沾油烟就失效,骗钱的玩意,基本上都停产啦,市场没有需要。 其基本结构就是一个网罩,里面一个加热丝、一个贵金属接触非明火燃烧丝而已。外围电路就一个直流电桥和放大器哟。 燃气灶安全保障要依靠双针结构,一个点火,一个是热电偶,如果火被吹灭了不加热,热电偶没有输出,电磁线圈失去电流,电磁阀就关闭“出气”。 火灾报警最简单的是像电视机遥控器那样,红外光电检测浓烟,太迟钝。 实用的是离子感应,同位素、电离室、灵敏放大器构成。 上面说的每一项都不是个人玩得转地,你就歇着吧。 煤气公司使用的车载气相色谱仪器检测可燃气体,仪器的价格是一百万元人民币以上呢。

数字电子技术课程设计电子秒表精选版

数字电子技术课程设计 电子秒表 Document serial number【KKGB-LBS98YT-BS8CB-BSUT-BST108】

数字电子技术课程设计报告题目:电子秒表的设计 专业: 班级: 姓名: 指导教师: 课程设计任务书学生班级:学生姓名:学号: 设计名称:电子秒表的设计 起止日期: 指导教师:周珍艮

目录 绪论- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 4 第一章、设计要求

设计任务及目的- - - - - - - - - - - - - - - - - - - - - - -- - - - - - - - -5 系统总体框图- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 、设计方案分析- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -6 第二章、电路工作原理及相关调试 电路工作原理- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 7 相关调试- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- 11 第三章、实验总结 附录A 电子秒表原理图 附录B 相关波形 元件清单 参考文献 绪论 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,掌握必要的电工电子知识已经成为当代大学生特别是理工类大学生必备的素质之一。 电子秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、二一五一十进制异步加法记数器、数据选择器和显示

电子秒表课程设计报告

西安郵電學院 控制系统课程设计报告书 系部名称:信息与控制系 学生姓名:XXX 专业名称:测控技术与仪器 班级:测控XXXX 2010年9月13日至 时间: 2010 年9月26日

电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、 设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用PROTEUS 强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用STC89C52单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置了两个按键和LCD 显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD 则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H -33H 中。其中31H 存放分钟变量,32H 存放秒钟变量,33H 存放10ms 计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD 码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD 码数据的对应段码存放在ROM 表中。显示时,先取出31H -33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过1INT 中断完成,定时溢出中断周期为1ms ,当一处中断后向CPU

扬州大学PLC课程设计分析

扬州大学能源与动力工程学院课程设计报告 题目:广告屏控制系统设计 课程:电气控制及可编程控制器 专业:电气工程及其自动化 班级: 姓名: 学号: 指导老师: 完成日期:2016 年12 月

第一部分 任 务 书

电器控制及可编程控制器课程设计任务书 一、课题名称 广告屏控制系统设计 二、设计目的及要求 1、熟悉电气控制系统的一般设计原则、设计内容及设计程序。 2、掌握电气设计制图的基本规范,熟练掌握PLC程序设计的方法和步骤。 3、学会收集、分析、运用电气设计有关资料及数据。 4、培养独立工作和工程设计能力以及综合运用专业知识解决实际工程技术问题的能力。 三、原始资料 各大中小城市或企业为了宣传自己企业形象和产品,均采用广告手法之一——广告屏来实现这一目的,当我们夜晚走在大街上,马路两旁各色各样的霓虹灯广告均可见到,一种是采用霓虹灯管做成的各种形状和多种彩色的灯管,另一种为日光灯管或白炽灯管作为光源,另配大型广告语或宣传画来达到宣传的效果。 某广告屏共有8根灯管,24只流水灯,每4只灯为一组,用PLC对广告屏实现控制,其具体要求如下: 1、该广告屏中间8个灯管亮灭的时序为第1根亮→第2根亮→第3根亮→…→第8根亮,时间间隔为ls,全亮后,显示l0s,再反过来从8→7→…→1顺序熄灭。全灭后,停亮2s,再从第8根灯管开始亮起,顺序点亮8→7→…→1,时间间隔为1s,显示20s。再从l→2→…→8顺序熄灭。全熄灭后,停亮2s,再从头开始运行,周而复始。 2、广告屏四周的流水灯共24只,4个l组,共分6组,每组灯间隔ls向前移动一次,且I~Ⅵ每隔一组灯为亮,即从I、Ⅲ亮→Ⅱ、Ⅳ亮→Ⅲ、V亮→Ⅳ、Ⅵ亮…,移动一段时间后(如30s),再反过来移动,即从Ⅵ、Ⅳ亮→V、Ⅲ亮→Ⅳ、Ⅱ亮→Ⅲ、I亮…,如此循环往复。 3、系统有单步/连续控制,有启动和停止按钮。 4、系统日光灯管、白炽灯的电压及供电电源均为市电220V。 四、课题要求 1、设计原则:国家现行有关电气设计规范及主管部门规定等。 2、设计范围:控制系统主电路及控制电路设计,电器设备选型。 3、设计成果:课程设计报告(设计说明书及计算书等),主电路图、控制电路图、流程图、I/O端子接线图、梯形图及程序。(所有成果均应为打印稿) 五、日程安排 本次课程设计时间共一周,进度安排如下: 1、设计准备,熟悉有关电气设计规范,熟悉课题设计要求及内容。(1天) 2、分析控制要求、主电路及控制电路方案设计。(1天) 3、绘制控制流程图、I/O端子接线图。(1天) 4、梯形图设计、编制程序及程序说明。(1天)

相关文档
相关文档 最新文档