文档库 最新最全的文档下载
当前位置:文档库 › 半导体工业常用英文缩写

半导体工业常用英文缩写

半导体工业常用英文缩写
半导体工业常用英文缩写

半导体工业常用英文缩写

(来源于互联网)

A/D analog to digital

AA atomic absorption

AAS atomic absorption spectroscopy

ABC activity-based costing

ABM activity-based management

AC alternating current; activated carbon

ACF anisotropic conductive film

ACI after-clean inspection

ACP anisotropic conductive paste

ACT alternative control techniques; actual cycle time

ADC analog-to-digital converter

ADE advanced development environment

ADI after-develop inspection

ADT applied diagnostic technique

ADTSEM Apply/Develop Track Specific Equipment Model

AE atomic emission; acousticemission; absolute ellipsometry AEC advanced equipment controller

AECS Advanced Equipment ControlSystem; Automated Equipm ent Control System

AEI after-etch inspection; automated equipment interface

AEM analytical electron microscopy

AES Auger emission/electron spectroscopy

AFM atomic force microscopy

AFP abrasive-free polish

Ag silver

A-GEMTF Advanced GEM Task Force

AGV automated guided vehicle

AHF anhydrous hydrogen fluoride

AHU air handling unit

AIR automated image retrieval

ALD atomic layer deposition

ALE atomic layer epitaxy; application logic element

ALS advanced light source; advanced low-power Schottky

AMC airborne molecular contamination

AMHS automated material handling system

AMT advanced manufacturing technology

AMU atomic mass unit

ANN artificial neural network

ANOVA analysis of variance

AOV air-operated valve

AP adhesion promoter

APA advanced performance algorithm

APC advanced process control

APCD add-on pollution control device

APCFI Advanced Process Control Framework Initiative

APCVD atmospheric pressure chemical vapor deposition

APEC advanced process equipment control

API application programming interface; atmospheric pressure io nization

APM atmospheric passivation module; acoustic plate mode

APRDL Advanced Products Research and Development Labora tory

aPSM attenuating phase-shift mask

AQI ACCESS query interface

AQL acceptable quality level

Ar argon

AR aspect ratio

ARAMS Automated Reliability

ARC antireflective coating

ARDE aspect ratio-dependent etching

ARPA Advanced Research Projects Agency (see DARPA)

ARS angle-resolved scattering

AS/RS automated storage and retrieval system

ASAP Advanced Stepper Application Program

ASIC application-specific integrated circuit

ASO automatic shutoff

ASP advanced strip and passivation; advanced strip processor ASR automated send receive

ATDF Advanced ToolDevelopment Facility

ATE automatic test equipment

ATG automatic test generation

ATLAS abbreviated test language for all systems

atm atmosphere

ATP advanced technology program; adenosine triphosphate; ac ceptance and tool performance

ATR attenuated total reflectance

Att attenuated

Au gold

AVP advanced vertical processor

AVS advanced visualization system

AWE asymptotic waveform evaluation

AWISPM above wafer in situ particle monitoring

AWS advanced wet station

B billion; boron

Ba barium

BARC bottom antireflective coating

BASE Boston Area Semiconductor Education (Council)

BAW bulk acoustic wave

BC bias contrast

BDEV behavior-level deviation

BDS Brownian Dynamics Simulation

Be beryllium

BEOL back end of line

BESOI bonded and etchback silicon on insulator

BF brightfield

BFGS Broyden-Fletcher- Goldfarb-Shanno optimization algorithm

BFL buffered field-effect transistor logic

BGA ball grid array

BHT Brinell hardness test

Bi bismuth

BiCMOS bipolar complementary metal-oxide semiconductor BIFET bipolar field-effect transistor

BIM binary intensity mask

BiMOS bipolar metal-oxide semiconductor

BIST built-in self-test

BIT bulk ion temperature

BITE built-in test equipment

BMC bubble memory controller

BMD bulk micro defect

BOE buffered oxide etchant

BOR bottom of range

BOSS Book of SEMI Standards; binary object storage system BOX buried oxide

BPR beam profile reflectometry; business process reengineering

BPSG boron phosphosilicate glass

BPTEOS BPSG from a TEOS source

Br bromine

BSE backscattered electron detection

BTAB bumped tape automated bonding

BV breakdown voltage

C carbon

Ca calcium

CA CIM architecture

CAA CIM applications architecture

CAB Competitive Analysis Benchmarking

CAD computer-aided design

CADT control application development tool

CAE computer-aided engineering

CAI computer-assisted instruction

CAM computer-aided manufacturing

CAPS computer-assisted problem solving

CAR chemically amplified resist

CARRI Computerized Assessment of Relative Risk Impacts

CASE computer-aided softwareengineering; computer-aided syst ems engineering

CAT computer-aided testing

CAW Construction Analysis Workgroup

CAWC cryogenic aerosol wafer cleaning

CBGA ceramic ball grid array

CBS chemical bottle storage area

CBT computer-based training

CC chip carrier; cluster controller

CCC ceramic chip carrier

CCD charge-coupled device

CCSL compatible current-sinking logic

CCW counterclockwise

Cd cadmium

CD critical dimension

CD/OL critical dimension overlay

CDA clean dry air

CDE chemical downstream etch

CDEM Customer Delivery Enterprise Model

CDI collector-diffusion isolation

CDM Common Device Model for SAB

CDO controlled decomposition/oxidation

CDR chemical distribution room

CDS chemical distribution system

Ce cerium

CE capillary electrophoresis

CEC cell evaluation chip

CEE control execution environment

CEM continuous emissions monitoring

CER-DIP ceramic dual in-line package

CFA component failure analysis

CFC chlorofluorocarbon

CFD computational fluid dynamics

CFM contamination-free manufacturing

CIC cleanroom interface chamber

CID charge-injection device

CIE computer-integrated engineering

CIM computer-integrated manufacturing

CIM-OSA computer-integrated manufacturing-open systems arch itecture (ESPRIT program)

CIP Continuous Improvement Program

CIS Center for Integrated Systems

CISC complex instruction set computer

Cl chlorine

CLCC ceramic leaded chip carrier

CLIC closed-loop intensity control

CM configuration management; cassette module

CMC cassette module controller

CML current mode logic

CMM capability maturity model

CMOS complementary metal-oxide semiconductor

CMP chemical mechanical planarization

CMR common-mode rejection ratio; cancel move request

CNC computer numerical control; condensation nucleus counter

CNT carbon nanotube

Co cobalt

COB chip-on-board

COC cost of consumables

CODEC coder-decoder

COED computer-optimized experimental design

COGS cost of goods sold

CoO cost of ownership

CORBA common object request broker architecture

CORE composite object reference

COSS common object services specification

COT customer-owned tooling

CoV coefficient of variance

Cp process capability

CPD concurrent product development

CPE Communications

CPGA ceramic pin grid array

Cpk process capability index

CQFP ceramic quad flat pack

CQN closed-queuing network

Cr chromium

CRC cyclic redundancy check

CRM Cost/Resource Model

Cs cesium

CSA CIM systems architecture

CSE control systems engineering

CSF critical success factor

CSL current-steering logic

CSMA/CD carrier-sense

CSP chip-scale package

CSPED concurrent semiconductor production and equipment de velopment

CST CIM systems technology

CSTR continuously stirred tank reactor

CSV comma-separated variable

CTC cluster tool controller

CTE coefficient of thermal expansion

CTI cycle time improvement

CTMC cluster tool modular communications

Cu copper

CUB central utility building

CUBES capacity utilization bottleneck efficiency system CUI common user interface

CUSUM cumulative sum

CV capacitance-to-voltage

CVCM collected volatile condensable materials

CVD chemical vapor deposition

CW continuous wave

Cz Czochralski process

D/A digital to analog

D/B die bonding

DAC digital-to-analog converter

DAS direct absorption spectroscopy

DASSL differential algebraic system solver

DBMS database management system

DC direct current

DCA direct chip attachment

DCATS double-contained acid transfer system

DCE distributed computer environment

DCL digital command language; display communication log DCS dichlorosilane

DDL device description language

DDMS defect data management system

DEDS discrete-event dynamic simulation

DES data encryption standard; display equipment status DF darkfield

DFC densified fluid clean

DFE dual-frequency etch

DFM design for manufacturing

DFR design for reliability

DFT design for test

DFY design for yield

DHF dilute hydrofluoric acid

DI deionized; dielectric isolation

DIBL drain-induced barrier leakage

DIC differential interference contrast

DIL dual in-line

DIP dual in-line package

DLBI device-level burn-in

DLOC developed source lines of code

DLS display lot status

DLT device-level test

DLTS deep-level transient spectroscopy

DMA direct memory access; dynamic mechanical analysis DMH display message helps

DML data manipulation language; display message log DMM digital multimeter

DMOS diffused metal-oxide semiconductor

DMR display move requests

DO dynamic optimization

DOA dead-on alignment

DOAS differential optical absorption spectroscopy

DOE design of experiments

DOF depth of focus

DOP dioctylphthalate

DPA destructive physical analysis

DPM digital panel meter

DPP discharge-produced plasma

DPSRAM dual-port static random access memory

DRAM dynamic random access memory

DRAPAC Design Rule and Process Architecture Council DRC design rule check

DRE destruction removal efficiency

DRIFTS diffuse reflectance infrared Fourier transform spectrosc opy

DRT defect review tool

DSA display system activity; dimensionally stable anode

DSC differential scanning calorimetry

DSMC direct simulation Monte Carlo

DSQ downstream quartz

DSS display stocker status

DSW direct step-on-wafer

DT dynamic test

DTA differential thermal analysis

DTC direct thermocouple control

DTL diode transistor logic

DTM defect test monitor; delay time multiplier; device test mod ule; digital terrain map

DTMPN defect test monitor phase number

DUT device under test

DUV deep ultraviolet

DV design verification

DVER design rule verification

DVM digital voltmeter

DVS display vehicle status

DWG domain work group

EAPSM embedded attenuated phase-shift mask

EAROM electrically alterable read-only memory

EASE equipment and software emulator

e-beam electron beam

EBHT electron-beam high-throughput lithography

EBIC electron beam-induced current

EBR edge bead removal

EC engineering change; equipment controller

ECA engineering capability assessment

ECAD electronic computer-aided design; engineering computer-aided design

ECAE electronic computer-aided engineering

ECL emitter coupled logic

ECN engineering change notice

ECO engineering change order

ECQB electrochemical quartz crystal balance

ECR electron cyclotron resonance

EDA electronic design automation

EDS energy-dispersive spectroscopy

EDU equipment-dependent uptime

EDX energy-dispersive X-ray

EDXA energy-dispersive X-ray analysis

EEDF electron energy distribution function

EELS electron energy-loss spectroscopy

EEPROM electrically erasable programmable read-only memory

EFEM equipment front-end module

EFOCS evanescent fiber-optic chemical sensor

EFTIR emission Fourier transform infrared spectroscopy

EFV excess flow valve

EGE ethylene glycol ethers

EHS extremely hazardous substance

EI equipment integration

EID Equipment InterfaceDevelopment

EIP Equipment Improvement Program; Equipment Improvement Project

EIS electrochemical impedance spectroscopy

EKF extended Kalman filter

ELF extremely low frequency

EM enterprise model; electromagnetic; electromigration

EMA equipment maturity assessment

EMC electromagnetic capability; electromagnetic compatability

EMF electromagnetic field

EMG electromigration

EMI electromagnetic interference

EMMA electron microscopy and microanalysis

EMP electromagnetic pulse

EMR enter move request

EMU electromagnetic unit

EOS electrical overstress

EOT end of transfer; equivalent oxide thickness

EP extreme pressure; electropolish

EPI epitaxial

EPL electron projection lithography

EPR electron paramagnetic resonance

EPROM electrically programmable read-only memory EPSS electronic performance support system

EPT equipment performance tracking

EQUIP C/I equipment control and integration

EQUIP RTC equipment real-time control

ERAM equipment reliability

ERM enterprise reference model

ERN external recurrent neural network

ERP extended range pyrometer

ERS event reporting standard

ERT emergency response time

ES engineering specification; expert system

ESC electrostatic chuck

ESCA electron spectroscopy for chemical analysis

ESD electrostatic discharge

ESH environment

ESM electronic service manual

ETAB Executive Technical Advisory Board

ETQR External Total Quality and Reliability

EUV extreme ultraviolet

eV electron volt

EWMA exponentially weighted moving average

F fluorine

F/I final inspection

FA failure analysis

FAB fast atom bombardment

FAMOS floating-gate avalanche-injection metal-oxide semicondu ctor

FBGA fine-pitch ball grid array

FC flip chip

FCM facilities cost model

FCS factory control system

FDC fault detection and classification

FDE frequency domain experiments

FDSOI fully depleted silicon on insulator

Fe iron

FEC fabrication evaluation chip

FEM finite element model

FEOL front end of line

FESEM field emission scanning electron microscopy

FET field-effect transistor

FFT fast Fourier transform

FFU filter fan unit

FI filterability index; factory integration

FIB focused ion beam

FID flame ionization detector

FIFO first-in

FIMS front-opening interface mechanical standard

FL fuzzy logic

FLOPC floating point operations needed per cycle

FLOTOX floating gate tunnel oxide

FLRT factory layout/relayout tool

FM foreign material

FMEA failure mode and effects analysis FMMC factory material movement component FMVP Framework Member Validation Project FNN feed-forward neural network

FOCS fiber-optic chemical sensor

FOSB front opening shipping box

FOUP front opening unified pod

FOV field of view

FOX field oxide

FP flash point

FPD focal plane deviation; flat panel display FPGA field-programmable gate array

FPLA field-programmable logic array

FPLF field-programmable logic family

FPLS field-programmable logic switch

FPMS Factory Performance Modeling Software FPROM field-programmable read-only memory FRACAS Failure Reporting

FRAME Failure Rate Analysis and Modeling FRMB fast ramp mini batch

FSG fused silica glass

FSM finite state machine

FT final test; Fourier transform

FTA fault tree analysis

FTAB Focus Technical Advisory Board

FTIR Fourier transform infrared

FW full wave

FWHM full-width half-maximum

FZ float zone

Ga gallium

GAC granular activated carbon

GC gas chromatography; gravimetric calibrator GCC generic cell controller

GCD gas chromatography distillation

GCMS gas chromatography mass spectroscopy

GDPP gas drive plasma pinch

GDS graphical design system; graphical design software Ge germanium

GEM Generic EquipmentModel

GEMVS GEM verification system

GES generic equipment simulator

GFC gas filter correlation

GFCI ground fault circuit interrupter

GIDL gate-induced drain leakage

GILD gas immersion laser doping

GLC gas liquid chromatography

GOI gate oxide integrity

GPIB general-purpose interface bus

GSCE gas source control equipment

GTS GEM Test System

H hydrogen

HAP hazardous air pollutant

HARI high aspect ratio inspection

HAST highly accelerated stress testing

HAZCOM Hazard Communication Standard

HB horizontal Bridgeman crystal

HCI hot carrier injection

HCM hollow cathode magnetron

HCMOS high-density CMOS

HCS hot-carrier suppressed

HD high density

HDL hardware description language

HDP high-density plasma

HDPE high-density polyethylene

He helium

HEM high-efficiency matching

HEPA high-efficiency particulate air

Hf hafnium

HF hydrofluoric acid

Hg mercury

HIBS heavy ion backscattering spectrometry

HiPOx high-pressure oxygen

HLF horizontal laminar flow

HMDS hexamethyldisilizane

HMIS hazardous materials inventory statement

HMMP hazardous materials management plan

HMOS high-performance MOS; high-density MOS

HOMER hazardous organic mass emission rate

HOPG highly oriented pyrolitic graphite

HP high purity

HPEM Hybrid Plasma Equipment Model

HPI high pressure isolation

HPL high-performance logic

HPLC high-performance liquid chromatography

HPM hazardous production materials; high-purity metal

HPV high-pressure vent

HRA human reliability analysis

HRR high ramp rate

HRTEM high-resolution transmission electron microscopy HSQ hydrogen silsesquioxane

HTO high-temperature oxidation

HTRB high-temperature reverse bias

HUPW hot ultrapure water

HVAC heating

I iodine

I/O input/output

I2L integrated injector logic

I300I International 300 mm Initiative

IC integrated circuit; Investment Council; ion chromatography

ICAP inductively coupled argon-plasma spectrometry

ICMS integrated circuit measurement system

ICP inductively coupled plasma

ICP-AES inductively coupled plasma atomic emission spectrosc opy

ICP-MS inductively coupled plasma mass spectrometry

ICT ideal cycle time

IDDQ direct drain quiescent current

IDEAL initiating

IDL interface definition language

IDLH immediately dangerous to life or health

IDS interactive diagnostic system

IEA ion energy analysis

IEC infused emitter coupling

IEDF ion energy distribution function

IERN internal-external recurrent neural network

IF interface

IGFET insulated-gate field-effect transistor

ILB inner lead bond

ILD interlevel dielectric; interlayer dielectric

ILS intracavity laser spectroscopy

IM integrated model; integrated metrology

IMD intermetal dielectric

IMMA ion microphobe mass analysis

IMS ion mobility spectroscopy

In indium

INCAMS individual cassette manufacturing system

IPA isopropyl alcohol

IPL ion projection lithography

IPT ideal process time

Ir iridium

IR infrared

IRAS infrared reflection-absorption spectroscopy

IRIS imaging of radicals interacting with surfaces

IRN internal recurrent neural network

IRONMAN Improving Reliability of New Machines at Night

IRTC-1 interconnect reliability test chip-1

IS information systems; interface specifications; integrated syste ms

ISC Industry Steering Council

ISEM inspection/review specific equipment model

ISM inductor super magnetron

ISMT International SEMATECH

ISPM in situ particle monitor

ISR in situ rinse

ISS ion scattering spectroscopy

ITRI Interconnection Technology

ITRS International Technology

IVH interstitial via hole

IVP integrated vacuum processing

JDP Joint Development Program

JEDEC Joint Electron Device Engineering Council

JESSI Joint European Submicron Silicon Initiative

JIC Joint Industrial Council

JIT just-in-time

JJT Josephson junction transistor

JVD jet vapor deposition

K potassium; thousand

keV kilo electron volt

KPA key process area

Kr krypton

kV kilovolt

La lanthanum

LAMMA laser micro-mass analysis

LAMMS laser micro-mass spectroscopy

LC inductance-capacitance; liquid chromatography

LCA lifecycle analysis

LCC leaded chip carrier

LCL lower confidence limit

LDD lightly doped drain

LDL lower detection limit

LDP low-density plasma

LDPE low-density polyethylene

LEC liquid encapsulated Czochralski crystal

LEL lower explosive limit

LER line edge roughness

LF laminar flow

LFL lower flammable limit

LGQ linear Gaussian quadratic

Li lithium

LI laser interferometry

LIC linear integrated circuit

LID leadless inverted device

LIFO last in

LIMA laser-induced mass analysis

LIMS laser-induced mass spectrometry

LLCC leadless chip carrier

LLD lower limit of detection

LLNQ least lots next queue

LM light microscope

LMMA laser microprobe mass analysis

LOCOS local oxidation of silicon

LOS loss of selectivity

LPC linear predictive coding; laser particle counter; low particle concentration; liquid-borne particle counter

LPCVD low-pressure chemical vapor deposition

LPD light point defect

LPE liquid phase epitaxy

LPI low-pressure isolation

LPP laser-produced plasma

LRS laser Raman spectroscopy

LSE latex sphere equivalent

LSHI large-scale hybrid integration

LSI large-scale integration

LSM laser scanning microscope

LTA laser thermal anneal

LTCVD low-temperature chemical vapor deposition LTO low-temperature oxidation/oxide

LTPD lot tolerance percent defective

LTV local thickness variation

LV latent variable

LVDT linear voltage differential transducer

LVI low-voltage inverter

LVS layout verification of schematic

LWR linewidth reduction

LWS large wafer study

M million; mega

MACT maximum achievable control technology MALDI matrix-assisted laser desorption and ionization MAN metropolitan area network

Management Standard

Manufacturing and Science

MAP manufacturing automation protocol

Master Deliverables List

MAWP maximum allowable working pressure

MB machine batch

MBC machine bath collection

MBE molecular beam epitaxy

MBPC model-based process control

MBTC model-based temperature control

MCBA mean cycles between assists

MCBF mean cycles between failures

制造业中常用的英文缩写

制造业中常用的英文缩写 工业常用的英文缩写 品质人员名称类 QC quality control 品质管理人员 FQC final quality control 终点质量管理人员 IPQC in process quality control 制程中的质量管理人员 OQC output quality control 最终出货质量管理人员 IQC incoming quality control 进料质量管理人员 TQC total quality control 全面质量管理 POC passage quality control 段检人员 QA quality assurance 质量保证人员 OQA output quality assurance 出货质量保证人员 QE quality engineering 品质工程人员 品质保证类 FAI first article inspection 新品首件检查 FAA first article assurance 首件确认 CP capability index 能力指数媵 CPK capability process index 模具制程能力参数 SSQA standardized supplier quality audit 合格供货商品质评估 FMEA failure model effectiveness analysis 失效模式分析 FQC运作类 AQL Acceptable Quality Level 运作类允收品质水准 S/S Sample size 抽样检验样本大小 ACC Accept 允收 REE Reject 拒收 CR Critical 极严重的 MAJ Major 主要的 MIN Minor 轻微的 Q/R/S Quality/Reliability/Service 品质/可靠度/服务 P/N Part Number 料号藊 L/N Lot Number 批号 AOD Accept On Deviation 特采 UAI Use As It 特采 FPIR First Piece Inspection Report 首件检查报告 PPM Percent Per Million 百万分之一 制程统计品管专类 SPC Statistical Process Control 统计制程管制 SQC Statistical Quality Control 统计质量管理 GRR Gauge Reproductiveness & Repeatability 量具之再制性及重测性判断量可靠与否DIM Dimension 尺寸 DIA Diameter 直径 N Number 样品数

PCB工程资料中常见的英文缩写汇总

工程圖檔資料中常見的英文縮寫匯總 ?AOI : Automatic Optical Inspection 自動光學檢測 ?SMD : Surface Mount Devices 表面安裝設備 ?SMB : Surface Mount Board 表面安裝板 ?SMT : Surface Mount Technology 表面安裝技術 ?MIL : Military Standard 美國軍用標准 ?LPI : Liquid Photo Imageable Solder Mask 液態感光阻焊油 ?SMOBC : Solder Mask On Bare Copper 裸銅覆蓋阻焊工藝 ?OSP : Organic Solderability Preservative 焊錫性有機保護劑 ?PTI : Proof Tracking Index 耐電壓起痕指數 ?CTI : Comparative Tracking Index 相對漏電起痕指數 ?HASL : Hot Air Solder Leveling 噴錫HAL : Hot Air Leveling 噴錫?PCB : Printed Circuit Board 印制電路板 ?PWB : Printed Wiring Board 印制線路板 ?CCL : Copper-clud laminat 覆銅箔層壓板 ?FPC: Flexible printed board 柔性线路板简称,又称软板 ?CAD : Computer Aided Design 計算機輔助設計 ?CAM : Computer Aided Manufacturing 計算機輔助制造 ?CAT : Computer Aided Testing 計算機輔助測試 ?PTH : Plated Through Hole 鍍通孔 ?IC : Integrated Circuit 集成線路 ?UL : Under Writers Laboratories 美國保險商實驗室 ?CNS : Chinese National Standards 中國國家標准 ?BGA : Ball Grid Array 球柵陣列 ?BUM : Build-up Multilayer 積層法多層板 ?CFR : Code of Federal Regularations 聯邦法規全書 ?AQL : Acceptable Quality Level 允收品質水准 ?LDI : Laser Direct Imaging 鐳射直接成像 ?HDI : High Density Interconnection –-Build-up Multilayer 高密度互連積層多層板

常用化学药品英文缩写

常用化学药品英文缩写A 英文缩写全称 A/MMA 丙烯腈/甲基丙烯酸甲酯共聚物AA 丙烯酸 AAS 丙烯酸酯-丙烯酸酯-苯乙烯共聚物ABFN 偶氮(二)甲酰胺 ABN 偶氮(二)异丁腈 ABPS 壬基苯氧基丙烷磺酸钠 B 英文缩写全称 BAA 正丁醛苯胺缩合物 BAC 碱式氯化铝 BACN 新型阻燃剂 BAD 双水杨酸双酚A酯 BAL 2,3-巯(基)丙醇 BBP 邻苯二甲酸丁苄酯 BBS N-叔丁基-乙-苯并噻唑次磺酰胺BC 叶酸 BCD β-环糊精 BCG 苯顺二醇 BCNU 氯化亚硝脲 BD 丁二烯 BE 丙烯酸乳胶外墙涂料 BEE 苯偶姻乙醚 BFRM 硼纤维增强塑料 BG 丁二醇BGE 反应性稀释剂 BHA 特丁基-4羟基茴香醚 BHT 二丁基羟基甲苯 BL 丁内酯 BLE 丙酮-二苯胺高温缩合物 BLP 粉末涂料流平剂 BMA 甲基丙烯酸丁酯 BMC 团状模塑料 BMU 氨基树脂皮革鞣剂 BN 氮化硼 BNE 新型环氧树脂 BNS β-萘磺酸甲醛低缩合物 BOA 己二酸辛苄酯 BOP 邻苯二甲酰丁辛酯 BOPP 双轴向聚丙烯 BP 苯甲醇 BPA 双酚A BPBG 邻苯二甲酸丁(乙醇酸乙酯)酯 BPF 双酚F BPMC 2-仲丁基苯基-N-甲基氨基酸酯 BPO 过氧化苯甲酰 BPP 过氧化特戊酸特丁酯 BPPD 过氧化二碳酸二苯氧化酯 BPS 4,4’-硫代双(6-特丁基-3-甲基苯酚) BPTP 聚对苯二甲酸丁二醇酯

BR 丁二烯橡胶 BRN 青红光硫化黑 BROC 二溴(代)甲酚环氧丙基醚BS 丁二烯-苯乙烯共聚物 BS-1S 新型密封胶 BSH 苯磺酰肼 BSU N,N’-双(三甲基硅烷)脲BT 聚丁烯-1热塑性塑料 BTA 苯并三唑 BTX 苯-甲苯-二甲苯混合物BX 渗透剂 BXA 己二酸二丁基二甘酯 BZ 二正丁基二硫代氨基甲酸锌C 英文缩写全称 CA 醋酸纤维素 CAB 醋酸-丁酸纤维素 CAN 醋酸-硝酸纤维素 CAP 醋酸-丙酸纤维素 CBA 化学发泡剂 CDP 磷酸甲酚二苯酯 CF 甲醛-甲酚树脂,碳纤维CFE 氯氟乙烯 CFM 碳纤维密封填料 CFRP 碳纤维增强塑料 CLF 含氯纤维 CMC 羧甲基纤维素CMCNa 羧甲基纤维素钠 CMD 代尼尔纤维 CMS 羧甲基淀粉 D 英文缩写全称 DAF 富马酸二烯丙酯 DAIP 间苯二甲酸二烯丙酯DAM 马来酸二烯丙酯 DAP 间苯二甲酸二烯丙酯DATBP 四溴邻苯二甲酸二烯丙酯DBA 己二酸二丁酯 DBEP 邻苯二甲酸二丁氧乙酯DBP 邻苯二甲酸二丁酯 DBR 二苯甲酰间苯二酚 DBS 癸二酸二癸酯 DCCA 二氯异氰脲酸 DCCK 二氯异氰脲酸钾 DCCNa 二氯异氰脲酸钠 DCHP 邻苯二甲酸二环乙酯DCPD 过氧化二碳酸二环乙酯DDA 己二酸二癸酯 DDP 邻苯二甲酸二癸酯 DEAE 二乙胺基乙基纤维素 DEP 邻苯二甲酸二乙酯 DETA 二乙撑三胺 DFA 薄膜胶粘剂 DHA 己二酸二己酯

制造业工厂常用英文与缩写词汇大全

一:常用術語 Hon Hai 鴻海 CMM Component module move 機動元件整合 CEM Contract Manu faction service 合約委托代工 IBSC Internet Business Solution Center 國際互聯網應用中心 PCEG Personal Computer Enclosure group 個人電腦外設事業群(FOXTEQ)CCBG Connector& cable business group CPBG Competition business group ESBG Enterprise system business group 鴻富錦事業群 SABG system assembly business group 系統組裝事業群 NWE Net Work Enclosure NSE Network system enclosure NSG Network system group NFE Network flexible enclosure Foxcavity = HZ = Hong Zhun 鴻準 Stamping tool shop I 沖模一廠 Stamping tool shop II 沖模二廠 Prototype workshop 樣品中心 Steel factory 裁剪廠 PCE molding tooling workshop PCE塑模廠 Hua Nan test and measurement center 華南檢測中心 MPE mobile phone enclosure MPE MBE mobile phone and notebook enclosure 明塑厂 MGE Alloy magnesium alloy enclosure 鎂合金 Engineer standard 工標 Document center (database center)資料中心 Design Center 設計中心 Painting 烤漆(廠) Assembly組裝(廠) Stamping 沖壓(廠) Education and Training教育訓練 proposal improvement/creative suggestion提案改善 Technological exchange and study 技術交流研習會 Technology and Development Committee 技術發展委員會 BS Brain Storming 腦力激蕩 QCC Quality Control Circle 品質圈 PDCA Plan Do Check Action 計劃執行檢查總結 DCC delivery control center 交貨管制中心 3C Computer 電腦類產品 Consumer electronics 消費性電子產品 Communication 通訊類產品 Core value(核心价值) Love 愛心

电路中常用的英文缩写汇总

电路中常用的英文缩写汇总 A/D:模数转换。 AC:交流。 ADDRESS:地址线。 AF:音频。 AFC:自动频率控制,控制基准频率时钟电路。在GSM电路中,只要看到AFC 字样,则马上可以断定该信号线所控制的是13MHz电路。该信号不正常则可能导致不能进入服务状态,严重的导致不开机。有些AFC标注为VCXOCONT。AGC:自动增益控制。该信号通常出现在接收机电路的低噪声放大器,被用来控制接收机前端放大器在不同强度信号时给后级电路提供一个比较稳定的信号。ALERT:告警。属于接收音频电路,被用来提示用户有电话进入或操作错误。ALRT:铃声电路。 AMP:放大器。 AMPS:先进的移动电话系统。 ANT:天线。用来将高频电磁波转化为高频电流或将高频信号电流转化为高频电磁波。在电路原理图中,找到ANT,就可以很方便地找到天线及天线电路。ANTSW:开线开关控制信号。 AOC:自动功率控制。通常出现在发射机的功率放大器部分。 AOC-DRIVE:自动功率控制参考电平。 ASIC:专用应用集成电路。在电路中,它通常包含多个功能电路,提供许多接口,主要完成各种控制。 AUC:鉴权中心。 :音频。AUDIO. AUX:辅助。 AVCC:音频供电。 BACKLIGHT;背光。 BALUN:平衡/不平衡转换。 BAND:频段。 BAND-SELECT:频段选择。只出现在双频或三频电路中。该信号控制频段切换。BASEBAND:基带信号。 B+:电源。 BATT:电池电压。 BAND:频段。 BCH:广播信道。 BDR:接收数据信号。 BDX:发射数据信号。 BKLT-EN:背景灯控制。 BIAS:偏压。被用来控制功率放大器或其他相应的电路。 BOOT:屏蔽罩。

常用化工产品英文缩写

您现在的位置:---------->化工常识------>近600种常用化工产品的英文缩写 本栏目详细列出了近600种常用化工产品的英文缩写,希望能为在科研生产中遇到困难的化工业同行 提供一些高效,有益的帮助!如果您还遇到什么难题,请及时与我们联系!! 请按字母顺序检索:A|B|C|D|E|F|G|H|I|J|K|L|M|N|O|P|Q|R|S|T|U|V|W|X|Y|Z 下面是起始字母为A的: A/MMA全称为:丙烯腈/甲基丙烯酸甲酯共聚物 AA全称为:丙烯酸 AAS全称为:丙烯酸酯-丙烯酸酯-苯乙烯共聚物 ABFN全称为:偶氮(二)甲酰胺 ABN全称为:偶氮(二)异丁腈 ABPS全称为:壬基苯氧基丙烷磺酸钠 ABR全称为:聚丙烯酸酯 ABS全称为:苯乙烯-丙烯腈-丁二烯共聚物 ABVN全称为:偶氮(二)异庚腈 AC全称为:偶氮(二)碳酰胺 ACB全称为:2-氨基-4-氯苯胺 ACNU全称为:嘧啶亚硝脲 ACP全称为:三氧化铝 ACR全称为:丙烯酸脂共聚物 ACS全称为:苯乙烯-丙烯腈-氯化聚乙烯共聚物 ACTA全称为:促皮质素 ADC全称为:偶氮甲酰胺 ADCA全称为:偶氮二甲酰胺 AE全称为:脂肪醇聚氧乙烯醚 AES全称为:脂肪醇聚氧乙烯醚硫酸酯钠盐 AI全称为:酰胺-酰亚胺(聚合物) AK全称为:醇酸树脂 AM全称为:丙烯酰胺 AN全称为:丙烯腈 AN-AE全称为:丙烯腈-丙烯酸酯共聚物 ANM全称为:丙烯腈-丙烯酸酯合成橡胶 AP全称为:多羟基胺基聚醚 APP全称为:无规聚丙烯 AR全称为:丙烯酸酯橡胶 AS全称为:丙烯腈-苯乙烯共聚物 ASA全称为:丙烯腈-苯乙烯-丙烯酸酯共聚物 ATT全称为:靛蓝

常用化工介质英文缩写

常用化工介质英文缩写英文缩写全称 A/MMA 丙烯腈/甲基丙烯酸甲酯共聚物 AA 丙烯酸 AAS 丙烯酸酯-丙烯酸酯-苯乙烯共聚物 ABFN 偶氮(二)甲酰胺 ABN 偶氮(二)异丁腈 ABPS 壬基苯氧基丙烷磺酸钠 ABR 聚丙烯酸酯 ABS 苯乙烯-丙烯腈-丁二烯共聚物 ABVN 偶氮(二)异庚腈 AC 偶氮(二)碳酰胺 ACB 2-氨基-4-氯苯胺 ACNU 嘧啶亚硝脲 ACP 三氧化铝 ACR 丙烯酸脂共聚物 ACS 苯乙烯-丙烯腈-氯化聚乙烯共聚物 ACTA 促皮质素 ADC 偶氮甲酰胺 ADCA 偶氮二甲酰胺 AE 脂肪醇聚氧乙烯醚 AES 脂肪醇聚氧乙烯醚硫酸酯钠盐 AI 酰胺-酰亚胺(聚合物) AK 醇酸树脂 AM 丙烯酰胺 AN 丙烯腈 AN-AE 丙烯腈-丙烯酸酯共聚物 ANM 丙烯腈-丙烯酸酯合成橡胶 AP 多羟基胺基聚醚 APP 无规聚丙烯 AR 丙烯酸酯橡胶 AS 丙烯腈-苯乙烯共聚物 ASA 丙烯腈-苯乙烯-丙烯酸酯共聚物 ATT 靛蓝 AU 聚酯型聚氨酯橡胶 AW 6-乙氧基-2,2,4-三甲基-1,2-二氢化喹啉 BAA 正丁醛苯胺缩合物 BAC 碱式氯化铝 BACN 新型阻燃剂 BAD 双水杨酸双酚A酯BAL 2,3-巯(基)丙醇 BBP 邻苯二甲酸丁苄酯 BBS N-叔丁基-乙-苯并噻唑次磺酰胺 BC 叶酸 BCD β-环糊精

BCG 苯顺二醇 BCNU 氯化亚硝脲 BD 丁二烯 BE 丙烯酸乳胶外墙涂料 BEE 苯偶姻乙醚 BFRM 硼纤维增强塑料 BG 丁二醇 BGE 反应性稀释剂 BHA 特丁基-4羟基茴香醚 BHT 二丁基羟基甲苯 BL 丁内酯 BLE 丙酮-二苯胺高温缩合物 BLP 粉末涂料流平剂 BMA 甲基丙烯酸丁酯 BMC 团状模塑料 BMU 氨基树脂皮革鞣剂 BN 氮化硼 BNE 新型环氧树脂 BNS β-萘磺酸甲醛低缩合物 BOA 己二酸辛苄酯 BOP 邻苯二甲酰丁辛酯 BOPP 双轴向聚丙烯 BP 苯甲醇 BPA 双酚A BPBG 邻苯二甲酸丁(乙醇酸乙酯)酯 BPF 双酚F BPMC 2-仲丁基苯基-N-甲基氨基酸酯 BPO 过氧化苯甲酰 BPP 过氧化特戊酸特丁酯 BPPD 过氧化二碳酸二苯氧化酯 BPS 4,4’-硫代双(6-特丁基-3-甲基苯酚) BPTP 聚对苯二甲酸丁二醇酯 BR 丁二烯橡胶 BRN 青红光硫化黑 BROC 二溴(代)甲酚环氧丙基醚 BS 丁二烯-苯乙烯共聚物 BS-1S 新型密封胶 BSH 苯磺酰肼 BSU N,N’-双(三甲基硅烷)脲 BT 聚丁烯-1热塑性塑料 BTA 苯并三唑 BTX 苯-甲苯-二甲苯混合物 BX 渗透剂 BXA 己二酸二丁基二甘酯

史上最全的英语缩写大全

史上最全的英语缩写大全 任何语言都有它独特的缩写,熟练掌握一些常用、地道的英文缩写会让你对英文的识别力更敏锐,让你在听别人讲话或自己进行口头表达时更方便、更容易。 今天的内容分为两部分: 口语缩写+书面缩写 你在英文中能见到的比较常用且实用的缩写,基本都包括了。 【一】常见的口语缩写 在口语中我们经常碰到一些缩写,诸如wanna, gonna 之类,那么他们到底是怎么来的呢? 又是什么意思呢? 1.wanna (= want to) 【美国口语】 wanna 是"want to" 的缩写,意为“想要”“希望” eg: Wanna grab a drink tonight? 今晚喝一杯如何? wanna的使用范围极广,从日常口语到歌曲名称都有它的影子,有一首传唱度很高的歌想必大家都听过,歌名就是"B What U Wanna B" 2.gonna(= going to)

gonna 是"going to" 的缩写,用在将来时中,一般与be 动词连用成“be gonna”结构,但在口语中也有省略be 的情况出现。【美国口语】 eg: Who's gonna believe you? 谁会信你呢? 3.kinda (= kind of) kinda 是"kind of"的缩写,意为“有点”“有几分” eg: I'm kinda freaking out! 我快疯了! 4.sorta (=sort of)【美国口语】 sorta 是"sort of" 的缩写,意为“有几分”“可以说是” eg: I'm sorta excited. 我有点小兴奋! 5.gotta (=got to)【美国口语】 gotta是"got to"的缩写,意为“不得不”“必须”

化工产品英文名称缩写

英文缩写全称 A/MMA 丙烯腈/甲基丙烯酸甲酯共聚物 AA 丙烯酸 AAS 丙烯酸酯-丙烯酸酯-苯乙烯共聚物 ABFN 偶氮(二)甲酰胺 ABN 偶氮(二)异丁腈 ABPS 壬基苯氧基丙烷磺酸钠 ABR 聚丙烯酸酯 ABS 苯乙烯-丙烯腈-丁二烯共聚物 ABVN 偶氮(二)异庚腈 AC 偶氮(二)碳酰胺 ACB 2-氨基-4-氯苯胺 ACNU 嘧啶亚硝脲 ACP 三氧化铝 ACR 丙烯酸脂共聚物 ACS 苯乙烯-丙烯腈-氯化聚乙烯共聚物 ACTA 促皮质素 ADC 偶氮甲酰胺 ADCA 偶氮二甲酰胺 AE 脂肪醇聚氧乙烯醚 AES 脂肪醇聚氧乙烯醚硫酸酯钠盐 AI 酰胺-酰亚胺(聚合物) AK 醇酸树脂 AM 丙烯酰胺 AN 丙烯腈 AN-AE 丙烯腈-丙烯酸酯共聚物 ANM 丙烯腈-丙烯酸酯合成橡胶 AP 多羟基胺基聚醚 APP 无规聚丙烯 AR 丙烯酸酯橡胶 AS 丙烯腈-苯乙烯共聚物 ASA 丙烯腈-苯乙烯-丙烯酸酯共聚物 ATT 靛蓝 AU 聚酯型聚氨酯橡胶 AW 6-乙氧基-2,2,4-三甲基-1,2-二氢化喹啉BAA 正丁醛苯胺缩合物 BAC 碱式氯化铝 BACN 新型阻燃剂 BAD 双水杨酸双酚A酯 BAL 2,3-巯(基)丙醇 BBP 邻苯二甲酸丁苄酯 BBS N-叔丁基-乙-苯并噻唑次磺酰胺 BC 叶酸

BCD β-环糊精 BCG 苯顺二醇 BCNU 氯化亚硝脲 BD 丁二烯 BE 丙烯酸乳胶外墙涂料 BEE 苯偶姻乙醚 BFRM 硼纤维增强塑料 BG 丁二醇 BGE 反应性稀释剂 BHA 特丁基-4羟基茴香醚 BHT 二丁基羟基甲苯 BL 丁内酯 BLE 丙酮-二苯胺高温缩合物 BLP 粉末涂料流平剂 BMA 甲基丙烯酸丁酯 BMC 团状模塑料 BMU 氨基树脂皮革鞣剂 BN 氮化硼 BNE 新型环氧树脂 BNS β-萘磺酸甲醛低缩合物 BOA 己二酸辛苄酯 BOP 邻苯二甲酰丁辛酯 BOPP 双轴向聚丙烯 BP 苯甲醇 BPA 双酚A BPBG 邻苯二甲酸丁(乙醇酸乙酯)酯 BPF 双酚F BPMC 2-仲丁基苯基-N-甲基氨基酸酯BPO 过氧化苯甲酰 BPP 过氧化特戊酸特丁酯 BPPD 过氧化二碳酸二苯氧化酯 BPS 4,4’-硫代双(6-特丁基-3-甲基苯酚) BPTP 聚对苯二甲酸丁二醇酯 BR 丁二烯橡胶 BRN 青红光硫化黑 BROC 二溴(代)甲酚环氧丙基醚 BS 丁二烯-苯乙烯共聚物 BS-1S 新型密封胶 BSH 苯磺酰肼 BSU N,N’-双(三甲基硅烷)脲 BT 聚丁烯-1热塑性塑料 BTA 苯并三唑 BTX 苯-甲苯-二甲苯混合物 BX 渗透剂

工厂常用英语缩写

MRP(material requirement planning)物料需求计划、MRPⅡ(manufacturing resource planning)制造企业资源计划和ERP(enterprise resource planning)企业资源计划,是企业管理信息系统发展的不同阶段。MPR主要对制造环节中的物流进行管理,使企业达到"既要保证生产又要控制库存"的目的;而MRPⅡ则集成了物流和资金流,将人、财、物,时间等各种资源进行周密计划,合理利用,以提高企业的竞争力;ERP它将供应链、企业业务流程和信息流程都囊括其中。由于ERP的概念流传最广,现在已经成为企业管理信息系统的代名词。 MIS(management information system)管理信息系统 PMC即Product material control 的缩写形式。是指对生产的计划与生产进度,以及物料的计划、跟踪、收发、存储、使用等各方面的监督与管理和废料的预防处理工作。PMC部主要有两方面的工作内容。即PC(生产计划、生产进度的管理)与MC(物料的计划、跟踪、收发、存储、使用等各方面的监督与管理,以及呆废料的预防与处理工作)。 R&D (Research & Development) 研发部 六标准差用来严格要求良率(Yield),一般而言相同的流程、程序,每重复一百万次只允许有三次或四次以下的错误,若达五次错误即是未达六标准差所设定的高良率水平 QC:Quality Control,品质控制,产品的质量检验,发现质量问题后的分析、改善和不合格品控制相关人员的总称.一般包括IQC(Incoming Quality Control来料检验),IPQC(In-Process Quality Control制程检验),FQC(Final Quality Control 成品检验),OQC(Out-going Quality Control出货检验),也有的公司不管三七

加拿大移民常用英文缩写汇总

加拿大移民常用英文缩写汇总 加拿大移民常用英文缩写汇总 AEO = arranged employment opinion工作安排计划 AIP = approval in principle 原则批复 AR = Acknoledge Of Receiving 文档已接收 BBB = Better Business Bureau 商业改善局 BC=background check 背景调查: BM = Board Member (of the IRB) IRB 是难民局;难民局成员 CAIPS = Computer Assisted Immigration Processing System 调档 CBA = Canadian Bar Association 加拿大律师协会 CBSA = Canada Border Service Agency 加拿大边境服务局 CC = Canadian Citizen 加拿大公民 CEC = Canadian Experience Class 加拿大经验类移民 CIC = Citizenship and Immigration Canada 加拿大移民局 CIO = Centralized Intake Office 联邦技术移民申请集中处理中心CIO = Citizenship and Immigration Canada Office 加拿大公民和移民事务办公室 CID = Claimant Identification Number 申请人身份证号码CPC = Case Processing Centre 申请处理中心 CPO = Case Processing Officer 案件处理员 CSIC = Canadian Society of Immigration Consultants 加拿大移民顾问协会 CSQ = Certificate De Selection Du Quebec 魁北克甄选证明,即魁省移民甄选证书 DM = Decision made 材料申请完毕 EE = Express Entry 快速通道 FC = Federal Court of Canada 加拿大联邦法院 FN= File Number 档案号 FSW = Federal Skilled Worker 传统的联邦技术移民 HRSDC = human resources services and development of Canada 加拿大人力资源与发展 IAD = Immigration Appeal Division (of IRB) IRB 是什么还记得吗;移民上诉部门

常用化工产品英文缩写.

起始字母为 O 英文缩写全称 OBP 邻苯二甲酸辛苄酯 ODA 己二酸异辛癸酯 ODPP 磷酸辛二苯酯 OIDD 邻苯二甲酸正辛异癸酯 OPP 定向聚丙烯 (薄膜 OPS 定向聚苯乙烯 (薄膜 OPVC 正向聚氯乙烯 OT 气熔胶 起始字母为 P 英文缩写全称 PA 聚酰胺 (尼龙 PA-1010 聚癸二酸癸二胺 (尼龙 1010 PA-11 聚十一酰胺 (尼龙 11 PA-12 聚十二酰胺 (尼龙 12 PA-6 聚己内酰胺 (尼龙 6 PA-610 聚癸二酰乙二胺 (尼龙 610 PA-612 聚十二烷二酰乙二胺 (尼龙 612 PA-66 聚己二酸己二胺 (尼龙 66 PA-8 聚辛酰胺 (尼龙 8 PA-9 聚 9-氨基壬酸 (尼龙 9

PAA 聚丙烯酸 PAAS 水质稳定剂 PABM 聚氨基双马来酰亚胺PAC 聚氯化铝 PAEK 聚芳基醚酮 PAI 聚酰胺 -酰亚胺 PAM 聚丙烯酰胺 PAMBA 抗血纤溶芳酸 PAMS 聚α-甲基苯乙烯 PAN 聚丙烯腈 PAP 对氨基苯酚 PAPA 聚壬二酐 PAPI 多亚甲基多苯基异氰酸酯PAR 聚芳酰胺 PAR 聚芳酯 (双酚 A 型 PAS 聚芳砜 (聚芳基硫醚 PB 聚丁二烯 -[1, 3] PBAN 聚 (丁二烯 -丙烯腈 PBI 聚苯并咪唑

PBMA 聚甲基丙烯酸正丁酯 PBN 聚萘二酸丁醇酯 PBR 丙烯 -丁二烯橡胶 PBS 聚 (丁二烯 -苯乙烯 PBT 聚对苯二甲酸丁二酯 PC 聚碳酸酯 PC/ABS 聚碳酸酯 /ABS树脂共混合金 PC/PBT 聚碳酸酯 /聚对苯二甲酸丁二醇酯弹性体共混合金 PCD 聚羰二酰亚胺PCDT 聚 (1, 4-环己烯二亚甲基对苯二甲酸酯 PCE 四氯乙烯 PCMX 对氯间二甲酚 PCT 聚对苯二甲酸环己烷对二甲醇酯 PCT 聚己内酰胺 PCTEE 聚三氟氯乙烯 PD 二羟基聚醚 PDAIP 聚间苯二甲酸二烯丙酯 PDAP 聚对苯二甲酸二烯丙酯 PDMS 聚二甲基硅氧烷 PE PEA 聚丙烯酸酯

常用英文缩写大全(全)

企业各职位英文缩写: GM(General Manager)总经理 VP(Vice President)副总裁 FVP(First Vice President)第一副总裁 AVP(Assistant Vice President)副总裁助理 CEO(Chief Executive Officer)首席执行官,类似总经理、总裁,是企业的法人代表。 COO(Chief Operations Officer)首席运营官,类似常务总经理 CFO(Chief Financial Officer)首席财务官,类似财务总经理 CIO(Chief Information Officer)首席信息官,主管企业信息的收集和发布 CTO(Chief technology officer)首席技术官类似总工程师 HRD(Human Resource Director)人力资源总监 OD(Operations Director)运营总监 MD(Marketing Director)市场总监 OM(Operations Manager)运作经理 PM(Production Manager)生产经理 (Product Manager)产品经理 其他: CAO: Art 艺术总监 CBO: Business 商务总监 CCO: Content 内容总监 CDO: Development 开发总监 CGO: Gonverment 政府关系 CHO: Human resource 人事总监 CJO: Jet 把营运指标都加一个或多个零使公司市值像火箭般上升的人 CKO: Knowledge 知识总监 CLO: Labour 工会主席 CMO: Marketing 市场总监 CNO: Negotiation 首席谈判代表CPO: Public relation 公关总监 CQO: Quality control 质控总监 CRO: Research 研究总监 CSO: Sales 销售总监 CUO: User 客户总监 CVO: Valuation 评估总监 CWO: Women 妇联主席 CXO: 什么都可以管的不管部部长 CYO: Yes 什么都点头的老好人 CZO: 现在排最后,等待接班的太子 常用聊天英语缩写

化工产品英文缩写

化工产品英文缩写 (2009-12-19 10:52:20) 起始字母为 A 英文缩写全称 A/MMA 丙烯腈/甲基丙烯酸甲酯共聚物 AA 丙烯酸 AAS 丙烯酸酯-丙烯酸酯-苯乙烯共聚物 ABFN 偶氮(二)甲酰胺 ABN 偶氮(二)异丁腈 ABPS 壬基苯氧基丙烷磺酸钠 ABR 聚丙烯酸酯 ABS 苯乙烯-丙烯腈-丁二烯共聚物 ABVN 偶氮(二)异庚腈 AC 偶氮(二)碳酰胺 ACB 2-氨基-4-氯苯胺 ACNU 嘧啶亚硝脲 ACP 三氧化铝 ACR 丙烯酸脂共聚物 ACS 苯乙烯-丙烯腈-氯化聚乙烯共聚物 ACTA 促皮质素 ADC 偶氮甲酰胺 ADCA 偶氮二甲酰胺 AE 脂肪醇聚氧乙烯醚 AES 脂肪醇聚氧乙烯醚硫酸酯钠盐 AI 酰胺-酰亚胺(聚合物) AK 醇酸树脂 AM 丙烯酰胺 AN 丙烯腈 AN-AE 丙烯腈-丙烯酸酯共聚物 ANM 丙烯腈-丙烯酸酯合成橡胶 AP 多羟基胺基聚醚 APP 无规聚丙烯 AR 丙烯酸酯橡胶 AS 丙烯腈-苯乙烯共聚物 ASA 丙烯腈-苯乙烯-丙烯酸酯共聚物 ATT 靛蓝 AU 聚酯型聚氨酯橡胶 AW 6-乙氧基-2,2,4-三甲基-1,2-二氢化喹啉起始字母为 B 英文缩写全称

BAA 正丁醛苯胺缩合物 BAC 碱式氯化铝 BACN 新型阻燃剂 BAD 双水杨酸双酚A酯 BAL 2,3-巯(基)丙醇 BBP 邻苯二甲酸丁苄酯 BBS N-叔丁基-乙-苯并噻唑次磺酰胺 BC 叶酸 BCD β-环糊精 BCG 苯顺二醇 BCNU 氯化亚硝脲 BD 丁二烯 BE 丙烯酸乳胶外墙涂料 BEE 苯偶姻乙醚 BFRM 硼纤维增强塑料 BG 丁二醇 BGE 反应性稀释剂 BHA 特丁基-4羟基茴香醚 BHT 二丁基羟基甲苯 BL 丁内酯 BLE 丙酮-二苯胺高温缩合物 BLP 粉末涂料流平剂 BMA 甲基丙烯酸丁酯 BMC 团状模塑料 BMU 氨基树脂皮革鞣剂 BN 氮化硼 BNE 新型环氧树脂 BNS β-萘磺酸甲醛低缩合物 BOA 己二酸辛苄酯 BOP 邻苯二甲酰丁辛酯 BLP 粉末涂料流平剂 BMA 甲基丙烯酸丁酯 BMC 团状模塑料 BMU 氨基树脂皮革鞣剂 BN 氮化硼 BNE 新型环氧树脂 BNS β-萘磺酸甲醛低缩合物 BOA 己二酸辛苄酯 BOP 邻苯二甲酰丁辛酯 BPP 过氧化特戊酸特丁酯 BPPD 过氧化二碳酸二苯氧化酯 BPS 4,4’-硫代双(6-特丁基-3-甲基苯酚) BPTP 聚对苯二甲酸丁二醇酯 BR 丁二烯橡胶

工业缩写中英文

工業常用的英文縮寫 品質人員名稱類 QC quality control 品質管理人員 FQC final quality control 終點品質管制人員 IPQC in process quality control 制程中的品質管制人員 OQC output quality control 最終出貨品質管制人員 IQC incoming quality control 進料品質管制人員 TQC total quality control 全面質量管理 POC passage quality control 段檢人員 QA quality assurance 質量保證人員 OQA output quality assurance 出貨質量保證人員 QE quality engineering 品質工程人員 新增: fool proof 防呆 screen printing 網印 品質保證類 FAI first article inspection 新品首件檢查 FAA first article assurance 首件確認 CP capability index 能力指數 CPK capability process index 模具製程能力參數 SSQA standardized supplier quality audit 合格供應商品質評估FMEA failure model effectiveness analysis 失效模式分析 FQC運作類 AQL Acceptable Quality Level 運作類允收品質水準 S/S Sample size 抽樣檢驗樣本大小 ACC Accept 允收 REE Reject 拒收 CR Critical 極嚴重的 MAJ Major 主要的 MIN Minor 輕微的 Q/R/S Quality/Reliability/Service 品質/可靠度/服務 P/N Part Number 料號 L/N Lot Number 批號 AOD Accept On Deviation 特采 UAI Use As It 特采 FPIR First Piece Inspection Report 首件檢查報告 PPM Percent Per Million 百萬分之一 制程統計品管專類

超实用英语缩写大全

超实用英语缩写大全 无论口语表达还是书面表达,英语中也有很多缩写,如果你不能很好地理解和运用这些英语缩写,那就会大大影响你的英语日常交流水平,令你面临重重困难;反之,熟悉掌握这些英语缩写,能帮助你有效提高表达和沟通能力。 【一】常见的口语缩写 首先是非常简单和常见的,在口语中我们经常碰到一些缩写,诸如 wanna, gonna 之类,那么他们到底是怎么来的呢?又是什么意思呢? 1.wanna (= want to) 【美国口语】 wanna 是 "want to" 的缩写,意为“想要”“希望” eg: Wanna grab a drink tonight? 今晚喝一杯如何?

wanna的使用范围极广,从日常口语到 歌曲名称都有它的影子,有一首传唱度很高的歌想必大家都听过,歌名就是"Be What U Wanna Be " 2.gonna(= going to) gonna 是"going to" 的缩写,用在将 来时中,一般与 be 动词连用成“be gonna”结构,但在口语中也有省略be 的情况出现。【美国口语】 eg: Who's gonna believe you? 谁会信你呢? 3.kinda (= kind of) kinda 是"kind of"的缩写,意为“有点”“有几分” eg:

I'm kinda freaking out! 我快疯了! 4.sorta (=sort of)【美国口语】 sorta 是 "sort of" 的缩写,意为“有几分”“可以说是” eg: I'm sorta excited. 我有点小兴奋! 5.gotta (=got to)【美国口语】 gotta是"got to"的缩写,意为“不得不”“必须” eg: I gotta go now. 我现在得走了。 【二】常见的书面缩写

常用化工英文缩写与中文名对照

常用化工英文缩写与中文名对照 A/MMA:丙烯腈/甲基丙烯酸甲酯共聚物 AA:丙烯酸 AAS:丙烯酸酯-丙烯酸酯-苯乙烯共聚物 ABFN:偶氮(二)甲酰胺 ABN:偶氮(二)异丁腈 ABA:Acrylonitrile-butadiene-acrylate:丙烯腈/丁二烯/丙烯酸酯共聚物 ABS:Acrylonitrile-butadiene-styrene:丙烯腈/丁二烯/苯乙烯共聚物AES:Acrylonitrile-ethylene-styrene:丙烯腈/乙烯/苯乙烯共聚物AMMA:Acrylonitrile/methyl Methacrylate:丙烯腈/甲基丙烯酸甲酯共聚物 ARP:Aromatic polyester:聚芳香酯 AS:Acrylonitrile-styrene resin:丙烯腈-苯乙烯树脂 ASA:Acrylonitrile-styrene-acrylate:丙烯腈/苯乙烯/丙烯酸酯共聚物 BAA:正丁醛苯胺缩合物 BAD:双水杨酸双酚A酯 BCD:β-环糊精 BE:丙烯酸乳胶外墙涂料 BFRM:硼纤维增强塑料 BLE:丙酮-二苯胺高温缩合物

BMA:甲基丙烯酸丁酯 BN:氮化硼 BNE:新型环氧树脂 BNS:β-萘磺酸甲醛低缩合物 BOPP:双轴向聚丙烯 BPMC:2-仲丁基苯基-N-甲基氨基酸酯 BPTP:聚对苯二甲酸丁二醇酯 BR:丁二烯橡胶 BROC:二溴(代)甲酚环氧丙基醚 BS:丁二烯-苯乙烯共聚物 BT:聚丁烯-1热塑性塑料 BTX:苯-甲苯-二甲苯混合物 CA:Cellulose acetate:醋酸纤维塑料 CAB:Cellulose acetate butyrate:醋酸-丁酸纤维素塑料CAP:Cellulose acetate propionate:醋酸-丙酸纤维素CE:"Cellulose plastics, general":通用纤维素塑料CF:Cresol-formaldehyde:甲酚-甲醛树脂 CMC:Carboxymethyl cellulose:羧甲基纤维素 CN:Cellulose nitrate:硝酸纤维素 CP:Cellulose propionate:丙酸纤维素 CPE:Chlorinated polyethylene:氯化聚乙烯 CPVC:Chlorinated poly(vinyl chloride):氯化聚氯乙烯

制造业中常用的英文缩写(QC等)

制造业中常用的英文缩写 品质人员名称类 QC quality control 品质管理人员 FQC final quality control 终点质量管理人员 IPQC in process quality control 制程中的质量管理人员 OQC output quality control 最终出货质量管理人员 TQC total quality control 全面质量管理 POC passage quality control 段检人员 QA quality assurance 质量保证人员 OQA output quality assurance 出货质量保证人员 QE quality engineering 品质工程人员 品质保证类 FAI first article inspection 新品首件检查 FAA first article assurance 首件确认 CP capability index 能力指数媵 CPK capability process index 模具制程能力参数 SSQA standardized supplier quality audit 合格供货商品质评估FMEA failure model effectiveness analysis 失效模式分析FQC运作类 AQL Acceptable Quality Level 运作类允收品质水准 S/S Sample size 抽样检验样本大小

ACC Accept 允收 REE Reject 拒收 CR Critical 极严重的 MAJ Major 主要的 MIN Minor 轻微的 Q/R/S Quality/Reliability/Service 品质/可靠度/服务 P/N Part Number 料号藊 L/N Lot Number 批号 AOD Accept On Deviation 特采 UAI Use As It 特采 FPIR First Piece Inspection Report 首件检查报告 PPM Percent Per Million 百万分之一 制程统计品管专类 SPC Statistical Process Control 统计制程管制 SQC Statistical Quality Control 统计质量管理 GRR Gauge Reproductiveness & Repeatability 量具之再制性及重测性判断量可靠与否DIM Dimension 尺寸 DIA Diameter 直径 N Number 样品数 其它品质术语类 QIT Quality Improvement Team 品质改善小组

相关文档
相关文档 最新文档