文档库 最新最全的文档下载
当前位置:文档库 › 仿真实验技术标准

仿真实验技术标准

仿真实验技术标准
仿真实验技术标准

CER卓信365仿真实验投标技术标准

1. CER卓信365仿真实验共包含初中物理、化学仿真实验205个, 绝对不将同一个实验设置成不同的教材版本内容来增加实验数量。

1.每个仿真实验均以exe可执行文件形式呈现,方便老师与自己制作

的课件实现链接。

2.本仿真实验具备自主操作,实验元件可随意取放,操作无顺序限制,

不管操作正确与错误均能够对应地呈现与实际相符的现象和结果。

3.本仿真实验有操作过程及对应的反应过程显示,由于操作错误而出

现的问题与结果能同样显示出来。

4.本仿真实验设计引入误差,测量结果允许有一定的误差存在,而不

会被固定程序修正,符合操作实际,也便于从中发现问题。

5.本仿真实验设计有课堂教学演示性实验和学生操作性实验,便于学

生提高设备操作能力,以满足课前备课、预习,课堂讲解,课后复习的需要。

6.本仿真实验能直观展示宏观及微观的变化过程,能把抽象的概念形

象化,透过现象看本质。

7.本仿真实验的各项操作与实际实验相符,能满足学生对实验正确操

作和实验现象观察的需求,避免穿插计算机的操作模式。

8.本仿真实验中包含各种危险的、成功率不高的、特殊环境下的实验

以及各种贵重仪器的使用,要求做到真实全面的仿真,特别注重实验过程的细节问题,做到与实际实验紧密契合。

9.所有仿真实验一律不得采用下一步、下一页的幻灯片模式操作。完

全让学生自由搭建环境、做到参数可调,让学生自主的参与和操作,去探索、发现和建构知识,有效地组织学生自主学习,培养其科学探究能力,为学生提供一个探究式的实验操作平台。

10.本软件整体风格要统一,在内容的组织、版面设计、色彩的运用要

符合学生的认知特性,满足课堂投影教学的技术要求。

11.本仿真实验器材的绘制做到与真实的器材相近,一律不能用符号代

替。

12.凡涉及到有物理量的实验, 不仅能够呈现实验的现象,而且能够

准确地呈现实验中各物理量之间的函数关系。

13.不是简单的提供各种资源素材让老师进行二次开发制作,增加老

师课外工作量。而是提供针对知识点,开发制作满足课堂教学全部需求的探究式教学软件,让老师有更多的精力用于教学设计,

便于进行分组或一对一的互动教学模式。并为微课的制作及翻转课堂提供有效资源。为提高现代化教学终端设备提供了优质的互动教学资源。

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

控制系统仿真与CAD 实验报告

《控制系统仿真与CAD》 实验课程报告

一、实验教学目标与基本要求 上机实验是本课程重要的实践教学环节。实验的目的不仅仅是验证理论知识,更重要的是通过上机加强学生的实验手段与实践技能,掌握应用 MATLAB/Simulink 求解控制问题的方法,培养学生分析问题、解决问题、应用知识的能力和创新精神,全面提高学生的综合素质。 通过对MATLAB/Simulink进行求解,基本掌握常见控制问题的求解方法与命令调用,更深入地认识和了解MATLAB语言的强大的计算功能与其在控制领域的应用优势。 上机实验最终以书面报告的形式提交,作为期末成绩的考核内容。 二、题目及解答 第一部分:MATLAB 必备基础知识、控制系统模型与转换、线性控制系统的计算机辅助分析 1. >>f=inline('[-x(2)-x(3);x(1)+a*x(2);b+(x(1)-c)*x(3)]','t','x','flag','a','b','c');[t,x]=ode45( f,[0,100],[0;0;0],[],0.2,0.2,5.7);plot3(x(:,1),x(:,2),x(:,3)),grid,figure,plot(x(:,1),x(:,2)), grid

2. >>y=@(x)x(1)^2-2*x(1)+x(2);ff=optimset;https://www.wendangku.net/doc/7d11780351.html,rgeScale='off';ff.TolFun=1e-30;ff.Tol X=1e-15;ff.TolCon=1e-20;x0=[1;1;1];xm=[0;0;0];xM=[];A=[];B=[];Aeq=[];Beq=[];[ x,f,c,d]=fmincon(y,x0,A,B,Aeq,Beq,xm,xM,@wzhfc1,ff) Warning: Options LargeScale = 'off' and Algorithm = 'trust-region-reflective' conflict. Ignoring Algorithm and running active-set algorithm. To run trust-region-reflective, set LargeScale = 'on'. To run active-set without this warning, use Algorithm = 'active-set'. > In fmincon at 456 Local minimum possible. Constraints satisfied. fmincon stopped because the size of the current search direction is less than twice the selected value of the step size tolerance and constraints are satisfied to within the selected value of the constraint tolerance. Active inequalities (to within options.TolCon = 1e-20): lower upper ineqlin ineqnonlin 2 x = 1.0000 1.0000 f =

模拟电子技术实验

实验2 单管放大电路 1.1 实验目的 (1) 熟悉电子元件和模拟电路实验箱。 (2) 掌握放大器静态工作点的调试方法及其对放大器性能的影响。 (3) 学习测量放大器Q点,A v,r i,r o的方法,了解共射极电路的特性。 (4) 学习放大器的动态性能。 1.2 实验仪器与设备 示波器,信号发生器,交流毫伏表,数字万用表,模拟/数字电路实验箱。 1.3 预习要求 (1) 熟悉分压式偏置放大器的工作原理,了解元器件参数对放大器性能的影响。 (2) 熟悉放大器的动态及静态测量方法。 1.4 实验内容与步骤 (一)、连接直流电路,测量静态工作点 1.连接直流电路 (1)用万用表判断实验元件(三极管、电解电容、电阻、电位器)及实验所用导线的好坏。 (2) 连接分压式偏置放大器的直流通路,电路如图1-1所示,将R W的阻值调到最大100K。 图1-1 分压式偏置单管放大器的直流通路

(3)调节直流稳压电源电压输出调节旋钮,使其输出+12V(方法:用万用表直流电压档监测直流稳压电源输出端口,调节旋钮使万用表显示+12 V) 2.调节静态工作点 接通稳压电源(方法:用红色导线连接直流稳压电源的正极与R W R C的公共点,用黑色导线连接直流稳压电源的负极与R B2 R E的公共点),调节R W使U CE=1/2 U CC,V BE=0.7V 测量晶体管各极对地电压U B、U C和U E,将测量结果和计算所得结果填入表1-1中。 U CE =U C-U E U BE =U B-U E I C = I E= U E /R E 表1-1 静态工作点实验数据 (二)、连接完整电路,测量动态参数 1.连接完整电路 图1-2 分压式偏置单管放大器原理图 注意:电解电容的极性。 3.电压放大倍数的测量 (1)接通函数信号发生器电源,调节函数信号发生器的频率调节旋钮和幅度调节旋钮,使函数信号发生器输出频率 f =1 kHz ,输出电压U S=10 mV (有效值)的交流信号(若输出不能达到10 mV,可调节输出衰减旋钮20~60 dB和幅度调节旋钮即可)。 注意:信号发生器输出交流信号的频率通过数码管显示即可读出来,输出交流信号的幅度必须使用晶体管毫伏表检测方可读出电压有效值。 (2)将信号发生器、示波器、晶体管毫伏表按图1-3接入。信号发生器的正极、示波

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

《控制系统计算机仿真》实验指导书

实验一 Matlab使用方法和程序设计 一、实验目的 1、掌握Matlab软件使用的基本方法; 2、熟悉Matlab的数据表示、基本运算和程序控制语句 3、熟悉Matlab绘图命令及基本绘图控制 4、熟悉Matlab程序设计的基本方法 二、实验内容 1、帮助命令 使用help命令,查找sqrt(开方)函数的使用方法; 2、矩阵运算 (1)矩阵的乘法 已知A=[1 2;3 4]; B=[5 5;7 8]; 求A^2*B (2)矩阵除法 已知A=[1 2 3;4 5 6;7 8 9]; B=[1 0 0;0 2 0;0 0 3]; A\B,A/B (3)矩阵的转置及共轭转置 已知A=[5+i,2-i,1;6*i,4,9-i]; 求A.', A' (4)使用冒号选出指定元素 已知:A=[1 2 3;4 5 6;7 8 9]; 求A中第3列前2个元素;A中所有列第2,3行的元素; (5)方括号[] 用magic函数生成一个4阶魔术矩阵,删除该矩阵的第四列 3、多项式 (1)求多项式p(x) = x3 - 2x - 4的根 (2)已知A=[1.2 3 5 0.9;5 1.7 5 6;3 9 0 1;1 2 3 4] , 求矩阵A的特征多项式; 求特征多项式中未知数为20时的值; 4、基本绘图命令 (1)绘制余弦曲线y=cos(t),t∈[0,2π] (2)在同一坐标系中绘制余弦曲线y=cos(t-0.25)和正弦曲线y=sin(t-0.5),t∈[0,2π] 5、基本绘图控制 绘制[0,4π]区间上的x1=10sint曲线,并要求: (1)线形为点划线、颜色为红色、数据点标记为加号; (2)坐标轴控制:显示范围、刻度线、比例、网络线 (3)标注控制:坐标轴名称、标题、相应文本; 6、基本程序设计 (1)编写命令文件:计算1+2+?+n<2000时的最大n值; (2)编写函数文件:分别用for和while循环结构编写程序,求2的0到n次幂的和。 三、预习要求 利用所学知识,编写实验内容中2到6的相应程序,并写在预习报告上。

模拟电子技术实验报告

姓名:赵晓磊学号:1120130376 班级:02311301 科目:模拟电子技术实验B 实验二:EDA实验 一、实验目的 1.了解EDA技术的发展、应用概述。 2. 掌握Multisim 1 3.0 软件的使用,完成对电路图的仿真测试。 二、实验电路

三、试验软件与环境 Multisim 13.0 Windows 7 (x64) 四、实验内容与步骤 1.实验内容 了解元件工具箱中常用的器件的调用、参数选择。 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能。 完成实验指导书中实验四两级放大电路实验(不带负反馈)。 2.实验步骤 测量两级放大电路静态工作点,要求调整后Uc1 = 10V。 测定空载和带载两种情况下的电压放大倍数,用示波器观察输入电压和输出电压的相位关系。 测输入电阻Ri,其中Rs = 2kΩ。 测输出电阻Ro。 测量两级放大电路的通频带。 五、实验结果 1. 两级放大电路静态工作点 断开us,Ui+端对地短路

2. 空载和带载两种情况下的电压放大倍数接入us,Rs = 0 带载: 负载: 经过比较,输入电压和输出电压同相。 3. 测输入电阻Ri Rs = 2kΩ,RL = ∞ Ui = 1.701mV

Ri = Ui/(Us-Ui)*Rs = 11.38kΩ 4. 测输出电阻Ro Rs = 0 RL = ∞,Uo’=979.3mV RL = 4.7kΩ,Uo = 716.7mV Ro = (Uo’/Uo - 1)*R = 1.72kΩ 5. 测量两级放大电路的通频带电路最大增益49.77dB 下限截止频率fL = 75.704Hz 上限截止频率fH = 54.483kHz 六、实验收获、体会与建议

电子技术基础实验报告-BJT共射极放大电路仿真报告

《电子技术基础实验报告》 实验名称:BJT共射极放大电路仿真组合 1、实验名称: BJT共射极放大电路的Pspices实验仿真 2、实验设计要求以及内容: 对BJT共射极放大电路仿真分析。包括静态工作点分析Bias point analysis(观测IB、IC、VBE、VCE的值)、瞬态分析Transient analysis(观测输入、输出电压波形,并计算电压增益Av)、交流分析AC sweep analysis(观测幅频响应曲线:中频增益、上限频率和下限频率,观测相频响应曲线,观测输入电阻的频率响应,观测输出电阻的频率响应)。 3、实验具体设计: 创建工程项目文件、编辑电路原理图、设置仿真分析类型、生成网表Pspice/Create netlist 、仿真分析、查看仿真输出结果。实验电路图如下所示。 4、输出仿真波形以及相关数据: 4.1、静态工作点分析(Bias point analysis): 静态工作点仿真数据如下:

(电压单位:V、电流单位:A) Bf 80 NAME Q_Q1 MODEL Q2N3904 IB 2.52E-05 IC 1.82E-03 VBE 6.80E-01 VBC -5.73E+00 VCE 6.41E+00 4.2、瞬态分析(Transient analysis): (具体数据见报告最后) 图像由上至下分别为输出电压Vout和输入电压Vin的波形。电压增益Av=18.75。 4.3、交流分析(AC sweep analysis): (具体数据见报告最后) 图像由上至下分别为P(Vout/Vin)和DB(Vout/Vin)的波形。 图像(Vin/ICB)(输入电阻)的波形。

模拟电子技术实验

实验一共射极单管放大电路的研究 1. 实验目的 (1)学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响; (2)掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法; (3)熟悉常用电子仪器及模拟电路实验设备的使用。 2. 实验设备与器材 实验所用设备与器材见表1.1。 表1.1 实验4.1的设备与器材 序号名称型号与规格数量备注 1 实验台1台 2 双踪示波器0~20M 1台 3 电子毫伏表1只 4 万用表1只 5 三极管1只 6 电阻1kΩ/0.25W 1只R e 7 电阻 2.4kΩ/0.25W 2只R S、R c、R L 8 电阻20kΩ/0.25W 1只R b1、R b2 9 电阻500kΩ/0.25W 1只R b2 10 铝电解电容10μF/25V 2只C1、C2 11 铝电解电容50μF/25V 1只C e 3. 实验电路与说明 实验电路如图1.1所示,为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R E,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号u i后,在放大器的输出端便可得到一个与u i相位相反,幅值被放大了的输出信号u0,从而实现了电压放大。安装电路时,要注意电解电容极性、直流电源正负极和信号源的极性。 图1.1 共射极单管放大器实验电路

I c/mA U ce/V u0波形失真情况管子工作状态 2.0 (5) 测量最大不失真输出电压的幅度 置R C=2.4kΩ,R L=2.4kΩ,调节信号发生器输出,使U s逐渐增大,用示波器观察输出信号的波形。直到输出波形刚要出现失真而没有出现失真时,停止增大U s,这时示波器所显示的正弦波电压幅度,就是放大电路的最大不失真输出电压幅度,将该值记录下来。然后继续增大U s,观察输出信号波形的失真情况。 5. 实验总结与分析 (1)用理论分析方法计算出电路的静态工作点,填入表1.2中,再与测量值进行比较,并分析误差的原因。 (2)通过电路的动态分析,计算出电路的电压放大倍数,包括不接负载时的A u、A us以及接上负载时的A u、A us。将计算结果填入表1.3中,再与测量值进行比较,并分析产生误差的原因。 (3)回答以下问题: ①放大电路所接负载电阻发生变化时,对电路的电压放大倍数有何影响? ②怎样用测量信号电压的方法来测量放大电路的输入电阻和输出电阻? (4)心得体会与其他。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

控制系统仿真实验报告

哈尔滨理工大学实验报告 控制系统仿真 专业:自动化12-1 学号:1230130101 姓名:

一.分析系统性能 课程名称控制系统仿真实验名称分析系统性能时间8.29 地点3# 姓名蔡庆刚学号1230130101 班级自动化12-1 一.实验目的及内容: 1. 熟悉MATLAB软件的操作过程; 2. 熟悉闭环系统稳定性的判断方法; 3. 熟悉闭环系统阶跃响应性能指标的求取。 二.实验用设备仪器及材料: PC, Matlab 软件平台 三、实验步骤 1. 编写MATLAB程序代码; 2. 在MATLAT中输入程序代码,运行程序; 3.分析结果。 四.实验结果分析: 1.程序截图

得到阶跃响应曲线 得到响应指标截图如下

2.求取零极点程序截图 得到零极点分布图 3.分析系统稳定性 根据稳定的充分必要条件判别线性系统的稳定性最简单的方法是求出系统所有极点,并观察是否含有实部大于0的极点,如果有系统不稳定。有零极点分布图可知系统稳定。

二.单容过程的阶跃响应 一、实验目的 1. 熟悉MATLAB软件的操作过程 2. 了解自衡单容过程的阶跃响应过程 3. 得出自衡单容过程的单位阶跃响应曲线 二、实验内容 已知两个单容过程的模型分别为 1 () 0.5 G s s =和5 1 () 51 s G s e s - = + ,试在 Simulink中建立模型,并求单位阶跃响应曲线。 三、实验步骤 1. 在Simulink中建立模型,得出实验原理图。 2. 运行模型后,双击Scope,得到的单位阶跃响应曲线。 四、实验结果 1.建立系统Simulink仿真模型图,其仿真模型为

控制系统仿真实验报告1

昆明理工大学电力工程学院学生实验报告 实验课程名称:控制系统仿真实验 开课实验室:年月日

实验一 电路的建模与仿真 一、实验目的 1、了解KCL 、KVL 原理; 2、掌握建立矩阵并编写M 文件; 3、调试M 文件,验证KCL 、KVL ; 4、掌握用simulink 模块搭建电路并且进行仿真。 二、实验内容 电路如图1所示,该电路是一个分压电路,已知13R =Ω,27R =Ω,20S V V =。试求恒压源的电流I 和电压1V 、2V 。 I V S V 1 V 2 图1 三、列写电路方程 (1)用欧姆定律求出电流和电压 (2)通过KCL 和KVL 求解电流和电压

四、编写M文件进行电路求解(1)M文件源程序 (2)M文件求解结果 五、用simulink进行仿真建模(1)给出simulink下的电路建模图(2)给出simulink仿真的波形和数值

六、结果比较与分析

实验二数值算法编程实现 一、实验目的 掌握各种计算方法的基本原理,在计算机上利用MATLAB完成算法程序的编写拉格朗日插值算法程序,利用编写的算法程序进行实例的运算。 二、实验说明 1.给出拉格朗日插值法计算数据表; 2.利用拉格朗日插值公式,编写编程算法流程,画出程序框图,作为下述编程的依据; 3.根据MATLAB软件特点和算法流程框图,利用MATLAB软件进行上机编程; 4.调试和完善MATLAB程序; 5.由编写的程序根据实验要求得到实验计算的结果。 三、实验原始数据 上机编写拉格朗日插值算法的程序,并以下面给出的函数表为数据基础,在整个插值区间上采用拉格朗日插值法计算(0.6) f,写出程序源代码,输出计算结果: 四、拉格朗日插值算法公式及流程框图

模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

参考答案--模拟电子技术实验指导书(2012)

参考答案--模拟电子技术实验指导书(2012)

实验一常用电子仪器的使用 一、实验目的 1.熟悉示波器,低频信号发生器和晶体管毫伏表等常用电子仪器面板,控制旋钮的名称,功能及使用方法。 2.学习使用低频信号发生器和频率计。 3.初步掌握用示波器观察波形和测量波形参数的方法。 二、实验原理 在电子电路实验中,经常使用的电子仪器有示波器、低频信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1—1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1—1 模拟电子电路中常用电子仪器布局图 1.低频信号发生器 低频信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V(峰-峰值)。通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。低频信号发生器的输出信号频率可以通过频率分档开关进行调节。 低频信号发生器作为信号源,它的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。 3.示波器 示波器是一种用途极为广泛的电子测量仪器,它能把电信号转换成可在荧光屏幕上直接观察的图象。示波器

电子技术基础实验仿真报告

学院:微电子与固体电子学院指导老师: 学生: 学号:

3.16多级放大电路设计及测试 一、实验目的 1.理解多级直接耦合放大电路的工作原理和设计方法。 2.学习并熟悉设计高增益的多级直接耦合放大电路的方法。 3.掌握多级放大器性能指标的测试方法。 4.掌握在放大电路中引入负反馈的方法。 二、设计要求 用给定的三极管2SC1815(NPN),2SA1015(PNP)设计多级放大器,已知VCC=+12V,VEE=-12V,要求设计差分放大器恒流源的射极电流 IEQ=2~3mA;差分放大器的单端输入单端输出不失真电压增益至少大于10倍,主放大器的不失真电压增益不少于100倍; 三、电路原理. 直接耦合式多级放大器的主要设计任务是模仿运行运算放大器op07的等效内部结构,简化部分电路,采用差分放大,共射放大,互补输出等结构形式,设计出一个电压增益足够高的多级放大器,可对小信号进行不失真的放大。实验原理图如下:

各部分原件参数如下: R1=5KΩ;R2=9KΩ;R3=10KΩ;R4=500Ω;R5=10KΩ;R6=10KΩ;R7=1kΩ;R8=1Ω; R9=1Ω;R10=1Ω P1=10KΩ, P2=20KΩ V1=1mV,VCC=+12V,VEE=-12V, C1=0.01PF C2=4uF C3=0.01PF 晶体管为2SC1815和2SA1015 二极管为1N3208 四、实验内容:

所测得各数据如图 性能指标一:IEQ3=1~2mA。 如上图所示,IEQ3=1.143mA符合要求。 性能指标二:IEQ4=2~3mA 如上图所示IEQ4=2.209mA,符合要求。 性能指标三:差分放大器的单端输入单端输出不失真电压增益至少大于10倍。 如上图所示,vpp=26.476mV相对于1mV放大约26倍符合要求。 性能指标四:主放大级的不失真电压增益不小于100倍。 如上图所示,vpp=2.809V相对于26.476mV放大了约106倍,符合要求

控制系统数字仿真实验报告

控制系统数字仿真实验报告 班级:机械1304 姓名:俞文龙 学号: 0801130801

实验一数字仿真方法验证1 一、实验目的 1.掌握基于数值积分法的系统仿真、了解各仿真参数的影响; 2.掌握基于离散相似法的系统仿真、了解各仿真参数的影响; 3.熟悉MATLAB语言及应用环境。 二、实验环境 网络计算机系统(新校区机电大楼D520),MATLAB语言环境 三实验内容 (一)试将示例1的问题改为调用ode45函数求解,并比较结果。 实验程序如下; function dy = vdp(t,y) dy=[y-2*t/y]; end [t,y]=ode45('vdp',[0 1],1); plot(t,y); xlabel('t'); ylabel('y');

(二)试用四阶RK 法编程求解下列微分方程初值问题。仿真时间2s ,取步长h=0.1。 ?????=-=1 )0(2y t y dt dy 实验程序如下: clear t0=0; y0=1; h=0.1; n=2/h; y(1)=1; t(1)=0; for i=0:n-1 k1=y0-t0^2; k2=(y0+h*k1/2)-(t0+h/2)^2; k3=(y0+h*k2/2)-(t0+h/2)^2;

k4=(y0+h*k3)-(t0+h)^2; y1=y0+h*(k1+2*k2+2*k3+k4)/6; t1=t0+h; y0=y1; t0=t1; y(i+2)=y1; t(i+2)=t1; end y1 t1 figure(1) plot(t,y,'r'); xlabel('t'); ylabel('y'); (三)试求示例3分别在周期为5s的方波信号和脉冲信号下的响应,仿真时间20s,采样周期Ts=0.1。

模拟电子技术实验指导书

《模拟电子技术》实验教学指导书课程编号:1038181007 湘潭大学 信息工程学院电工与电子技术实验中心 2007年11月30日

前言 一、实验总体目标 通过实验教学,使学生巩固和加深所学的理论知识,培养学生运用理论解决实际问题的能力。学生应掌握常用电子仪器的原理和使用方法,熟悉各种测量技术和测量方法,掌握典型的电子线路的装配、调试和基本参数的测试,逐渐学习排除实验故障,学会正确处理测量数据,分析测量结果,并在实验中培养严肃认真、一丝不苟、实事求是的工作之风。 二、适用专业年级 电子信息工程、通信工程、自动化、建筑设施智能技术等专业二年级本科学生。 三、先修课程 《高等数学》、《大学物理》、《电路分析基础》或《电路》。 网络化模拟电路实验台:36套(72组) 主要配置:数字存储示波器、DDS信号发生器、数字交流毫伏、模块化单元电路板等。 六、实验总体要求 本课程要求学生自己设计、组装各种典型的应用电路,并用常用电子仪器测试其性能指标,掌握电路调试方法,研究电路参数的作用与影响,解决实验中可能出现各种问题。 1、掌握基本实验仪器的使用,对一些主要的基本仪器如示波器、、信号发生器等应能较熟练地使用。 2、基本实验方法、实验技能的训练和培养,牢固掌握基本电路的调整和主要技术指标的测试方法,其中还要掌握电路的设计、组装等技术。 3、综合实验能力的训练和培养。 4、实验结果的处理方法和实验工作作风的培养。

七、本课程实验的重点、难点及教学方法建议 本课程实验的重点是电路的正确连接、仪表的正确使用、数据测试和分析; 本课程实验的难点是电路的设计方法和综合测试与分析。 在教学方法上,本课程实验应提前预习,使学生能够利用原理指导实验,利用实验加深对电路原理的理解,掌握分析电路、测试电路的基本方法。

《MATLAB与控制系统。。仿真》实验报告

《MATLAB与控制系统仿真》 实验报告 班级: 学号: 姓名: 时间:2013 年 6 月

目录实验一MATLAB环境的熟悉与基本运算(一)实验二MATLAB环境的熟悉与基本运算(二)实验三MATLAB语言的程序设计 实验四MATLAB的图形绘制 实验五基于SIMULINK的系统仿真 实验六控制系统的频域与时域分析 实验七控制系统PID校正器设计法 实验八线性方程组求解及函数求极值

实验一MATLAB环境的熟悉与基本运算(一) 一、实验目的 1.熟悉MATLAB开发环境 2.掌握矩阵、变量、表达式的各种基本运算 二、实验基本原理 1.熟悉MATLAB环境: MATLAB桌面和命令窗口、命令历史窗口、帮助信息浏览器、工作空间浏览器、文件和搜索路径浏览器。 2.掌握MATLAB常用命令 表1 MATLAB常用命令 变量与运算符 3.1变量命名规则 3.2 MATLAB的各种常用运算符 表3 MATLAB关系运算符 表4 MATLAB逻辑运算符

| Or 逻辑或 ~ Not 逻辑非 Xor逻辑异或 符号功能说明示例符号功能说明示例 :1:1:4;1:2:11 . ;分隔行.. ,分隔列… ()% 注释 [] 构成向量、矩阵!调用操作系统命令 {} 构成单元数组= 用于赋值 的一维、二维数组的寻访 表6 子数组访问与赋值常用的相关指令格式 三、主要仪器设备及耗材 计算机 四.实验程序及结果 1、新建一个文件夹(自己的名字命名,在机器的最后一个盘符) 2、启动MATLAB,将该文件夹添加到MATLAB路径管理器中。 3、学习使用help命令。

《本科模拟电子技术实验》教案

《本科模拟电子技术实验》教案

4.1 共射极单管放大电路的研究 1. 实验目的 (1)学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响; (2)掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法; (3)熟悉常用电子仪器及模拟电路实验设备的使用。 2. 实验设备与器材 实验所用设备与器材见表4.1。 表4.1 实验4.1的设备与器材 序号名称型号与 规格 数量备注 1 直流稳压电源双路 0~30V 1台 2 双踪示波器0~10M 1台 3 函数信号发生 器 低频1台 4 模拟电路实验 箱 1台 5 电子毫伏表1只 6 万用表1只 7 数字电压表0~1只

200V 8 数字毫安表0~ 200mA 1只 9 晶体管特性图 示仪1台全班共 用 10 三极管9013 1只 11 电阻1kΩ/0.2 5W 1只R e 12 电阻 2.4kΩ/0 .25W 2只R S、R c、R L 13 电阻20kΩ/0. 25W 1只R b1、R b2 14 电阻500kΩ/ 0.25W 1只R b2 15 铝电解电容10μF/25 V 2只C1、C2 16 铝电解电容50μF/25 V 1只C e 3. 实验电路与说明 实验电路如图4.1所示,为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电

阻R E,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号u i后,在放大器的输出端便可得到一个与u i相位相反,幅值被放大了的输出信号u0,从而实现了电压放大。安装电路时,要注意电解电容极性、直流电源正负极和信号源的极性。 图4.1 共射极单管放大器实验电路 4. 实验内容与步骤 (1)电路安装 ①安装之前先检查各元器件的参数是否正确,区分三极管的三个电极,并测量其β值。 ②按图4.1所示电路,在面包板或实验台上搭接电路。安装完毕后,应认真检查连线是否正确、牢固。 (2)测试静态工作点 ①电路安装完毕经检查无误后,首先将直流稳压电源调到12V,接通直流电源前,先将R W

控制系统仿真和设计实验报告

控制系统仿真与设计实验报告 姓名: 班级: 学号: 指导老师:峰

7.2.2控制系统的阶跃响应 一、实验目的 1.观察学习控制系统的单位阶跃响应; 2.记录单位阶跃响应曲线; 3.掌握时间相应的一般方法; 二、实验容 1.二阶系统G(s)=10/(s2+2s+10) 键入程序,观察并记录阶跃响应曲线;录系统的闭环根、阻尼比、无阻尼振荡频率;记录实际测去的峰值大小、峰值时间、过渡时间,并与理论值比较。 (1)实验程序如下: num=[10]; den=[1 2 10]; step(num,den); 响应曲线如下图所示: (2)再键入: damp(den); step(num,den); [y x t]=step(num,den); [y,t’] 可得实验结果如下:

实际值理论值峰值 1.3473 1.2975 峰值时间 1.0928 1.0649 过渡时间+%5 2.4836 2.6352

+%2 3.4771 3.5136 2. 二阶系统G(s)=10/(s2+2s+10) 试验程序如下: num0=[10]; den0=[1 2 10]; step(num0,den0); hold on; num1=[10]; den1=[1 6.32 10]; step(num1,den1); hold on; num2=[10]; den2=[1 12.64 10]; step(num2,den2); 响应曲线:

(2)修改参数,分别实现w n1= (1/2)w n0和w n1= 2w n0响应曲线 试验程序: num0=[10]; den0=[1 2 10]; step(num0,den0); hold on; num1=[2.5]; den1=[1 1 2.5]; step(num1,den1); hold on; num2=[40]; den2=[1 4 40]; step(num2,den2); 响应曲线如下图所示: 3.时作出下列系统的阶跃响应,并比较与原系统响应曲线的差别与特点,作出相应的实验分析结果。

模拟电子技术实验综合

实验1 单级晶体管放大电路 一、实验目的 1.掌握放大电路静态工作点的调整和测试方法。 2.了解静态工作点对电压放大倍数的影响。 3.了解静态工作点对输出波形的影响。 4.学习测量放大电路的交流电压放大倍数、输入电阻、输出电阻以及最大不失真输出电压的测试方法。 5.熟悉常用电子仪器、仪表及模拟电子技术实验设备的使用。 二、实验原理 电压放大电路的基本任务是在输入端接入交流信号u i 后,在其输出端便可以得到一个与之相位相反、不失真的交流放大输出信号u 0 ,且有足够的电压放大倍数。图1-1为电阻分压式稳定静态工作点的共射极单管放大电路,其基极偏置电路由R B1和R B2分压电路构成。如果静态工作点选择得过高或过低,或者输入信号过大,都会使输出波形失真。为获得合适的静态工作点,一般采用调节上偏置电阻R P 的方法,在发射极接有电阻R e ,以稳定静态工作点Q 。 图1-1 分压式偏置共发射极放大电路 图1-1的电路是交流放大电路中最常用的一种基本单元电路。根据此电路学习放大电路的主要性能指标的测量方法。 1. 输入电阻r i 放大器的输入电阻是从放大器的输入端看进去的等效电阻,加上信号源之后,它就是信号源的负载电阻,用r i 表示。由此可知 r i =U i / i i =R S U i / (U S -U i ) U CC 12V

其中:U S—信号源电压的有效值,R S—信号源内阻; U i—放大电路输入电压的有效值。 r i的大小直接关系到信号源的工作情况。 2.输出电阻r o 、放大器的输出电阻是从放大器的输出端回向放大器看进去的等效电阻,用r o表示,测出U o C U o L后r o由下式计算: r o=R L(U o1-U o2) /U o2 ——放大电路开路时输出电压的有效值; 其中:U o C U o L——放大电路接负载R L时输出电压的有效值。 3.电压放大倍数A u 放大器的电压放大倍数是在输出波形不失真的情况下输出电压与输入电压有效值(或最大值)的比值A u,即 A u=U o /U i 三、实验仪器设备及元器件 1.直流稳压电源 2.函数信号发生器 3.数字式双踪示波器 4.数字万用表 5.交流毫伏表 6.模拟电子实验箱、单级晶体管放大电路专用实验板 7.晶体三极管、电位器、电阻器、电容器等电子元件 四、预习要求 1.理解分压式偏置放大电路的工作原理及电路中各元件的作用。 2.估算实验电路的性能指标:假设晶体管S9018的β=100,R B1=15kΩ,R B2=20kΩ,R C=3.3kΩ,R L=5.1kΩ,U CC=+12V,估算放大电路的静态工作点Q ,电压放大倍数A u,输入电阻r i 和输出电阻r o。 3.了解饱和失真、截止失真或因信号过大引起的失真波形。 4.掌握有关输入电阻及输出电阻的测试方法。 5.极性电容接反极性会有什么后果?怎样避免极性接反?

相关文档
相关文档 最新文档