文档库 最新最全的文档下载
当前位置:文档库 › 微机原理——简易电子琴

微机原理——简易电子琴

微机原理——简易电子琴
微机原理——简易电子琴

课程名称:微型计算机原理与接口技术

设计题目:模拟简易电子琴

一、题目分析

题目:模拟简易电子琴

1.由于题目要求该程序有多个功能,而且是分工合作,所以采用菜单选择形式实现多功能实现在一个程序里,再用不同的子函数实现不同的功能,用主函数在不同的输入指令下调用不同的子程序来实现不同的功能。

2.为了使计算机无需音响输出设备就可以发声,我们利用ROM BIOS中的BEEP子程

序,它能根据BL中给出的时间计数值控制8254定时器,产生持续声音。通过对BEEP的修改可以使其产生任一频率的声音。用汇编语言编写的程序GENSOUND,能使PC机发出指定频率和指定延迟时间的声音,它是一个很好的通用发声程序。

组成乐曲的每个音符的频率值和持续时间是乐曲发声程序所必须的两组数据,知道了音调及频率的关系后,就可以按照乐曲的乐谱将每个音符的频率和持续时间定义成两个数据表,然后编程依次取出表中的频率值和时间值,调用GENSOUND程序发出各种声音,本程序通过调用GENSOUND程序演奏乐曲。

二、总体设计

1.把所有变量写进data中,完成data和stack的定义;

2.利用宏的定义设计功能菜单,在程序中为了能够循环起来,运用比较(cmp)和跳转(jz)使菜单循环起来;

3.写一个在模拟钢琴中,已经有的音乐,我们以粉刷匠这首儿歌为例,把它插在其中实现原有音乐的播放;

4.根据PC机的键盘数字八度音程的钢琴程序,整理,能发出6,7,1,2,3,4,5,6,7,i,十个音调三、实验环境,在其中运用,子程序的调用和宏定义;

5.运用录音的功能把在上一个用键盘实现按键功能的音乐录下来,在写一个播放音乐的子程序,调用录音子程序,实现回放功能;

三、实验环境

1.用editplus去编写汇编语言;

2.用masm去编译,再用link去连接,然后在dos运行exe文件。

四、制作步骤

1.编写步骤,我们采用不同子程序整合为一个总程序的办法逐步实现

2. 运行步骤,按1键演奏乐曲,按2键可以演奏歌曲,在输入音键的同时输出相应音符并在屏幕上显示出来,按3键演奏刚刚在步骤2中用户演奏的乐曲,按0键自行推出程序,。如果输入了没有的选项否则出错信息,并提示再次输入。

五、程序代码

我写的是回放功能:

stack segment para stack 'stack'

DB 640 dup('stack')

stack ends

data segment

mfreq DW 392,330,392,330,392,330,262

DW 294,349,330,262,392

DW 392,330,392,330,392,330,262

DW 294,349,330,294,262

DW 294,294,349,349,330,262,392

DW 294,349,330,262,392

DW 392,330,392,330,392,330,262

DW 294,349,330,294,262

DW -1

mtime DW 100,100,100,100,100,100,100,200

DW 100,100,50,50,200

DW 100,100,100,100,100,100,100,200

DW 100,100,100,100,400

DW 100,100,100,100,200,200,300

DW 100,100,100,100,100,200,200

DW 100,100,50,50,400

KEYNUM DW 0

table dw 988 ; 0,7. ;7的高音

dw 262 ; 1

dw 294 ; 2

dw 330 ; 3

dw 349 ; 4

dw 392 ; 5

dw 440 ; 6

dw 494 ; 7

dw 523 ; 8,i ;1的高音

dw 880 ; 9,6. ;6的高音

message db 'Paly the piano with number key(1-9) ,exit with space bar!',0DH,0ah,'$' IFLR DB 'MUSIC OVER!','$'

IFID DB 0DH,0ah,'$';

fi db 'finish music!','$'

F_Str db 0DH,0ah;

db '***********************************',0DH,0ah

db '1. play example',0DH,0ah ;播放实例音乐

db '2. enter keyborad music',0DH,0ah ;按键播放

db '3. replay music',0DH,0ah ;回放音乐

db '0. Exit',0DH,0ah ;退出

db '***********************************',0DH,0ah

db 'Please choose the number you want :',0DH,0ah ;选择数字

db 0DH,0ah,'$';

db 0DH,0ah,'$';

data ends

code segment

assume cs:code,ss:stack,ds:data

; 功能:显示指定地址(Str_Addr)的字符串

; 入口:

; Str_Addr=字符串地址(要求在数据段)

; 用法: Output Str_Addr

; 用法举例:Output PromptStr

; 功能菜单

SHOW MACRO B

LEA DX, B

MOV AH ,9

INT 21H

ENDM

Start:

push ds

sub ax,ax

push ax

mov ax,data

mov ds,ax

begin:

show F_Str ;显示功能菜单

NEXT: mov ah,1

int 21h

cmp al,'1'

jz m1

cmp al,'2'

jz m2

cmp al,'3'

jz m3

cmp al,'0'

jz m4

jmp begin

m1: call music

jmp begin

m2: call aj

jmp begin

m3: call REPLAY

jmp begin

m4: mov ah,4ch ;结束程序

int 21h

;第1

music proc near

push ds

sub ax,ax

push ax

mov ax,data

mov ds,ax

lea si,mfreq

lea bp,ds:mtime

freq: mov di,[si]

cmp di,-1

je endmusic

mov bx,[bp]

call gensound

add si,2

add bp,1

jmp freq

endmusic: SHOW IFID

SHOW IFLR

SHOW IFID

ret

music endp

gensound proc near

push ax

push bx

push cx

push dx

push di

mov al,0b6h

out 43h,al

mov dx,12h

mov ax,533h*896

div di

out 42h,al

mov al,ah

out 42h,al

in al,61h

mov ah,al

or al,3

out 61h,al

wait1: mov cx,4801 ;延时长度delay: loop delay

dec bx

jnz wait1

mov al,ah

out 61h,al

pop di

pop dx

pop cx

pop bx

pop ax

ret

gensound endp

;第2

aj proc near

push ds

sub ax,ax

push ax

MOV AX,DA TA

MOV DS,AX

mov ah,9

mov dx,offset message ;BX指向message首单元int 21h

new_note:

mov ah,1

int 21h

cmp al,20h ;空格键退出

JE EXIT

mov bx, offset table ;BX指向表格首单元

cmp al,'0'

jb new_note

cmp al,'9'

ja new_note

and ax,000fh ;逻辑与运算

shl ax,1 ;逻辑左移指令

sub ax,2

mov si,ax

mov di,[bx][si+2] ;表格中具体位置的内容

call soundf ;用call调用soundf

jmp new_note

EXIT:

ret

aj endp

soundf proc near ;用伪指令定义一个子程序push ax

push bx

push cx

push dx

push di

mov al,0b6h

out 43h,al

mov dx,12h

mov ax,533h*896

div di

out 42h,al

mov al,ah

out 42h,al

in al,61h

mov ah,al

or al,3

out 61h,al

mov bx,100

wait2:

mov cx,633

call waitf

dec bx ;bx减1

jnz wait2 ;不为0

mov al,ah

out 61h,al

pop di

pop dx

pop cx

pop bx

pop ax

ret ;返回,相当于return soundf endp

waitf proc near

push ax

waitf1:

in al,61h

and al,10h

cmp al,ah

je waitf1

mov ah,al

loop waitf1

pop ax

ret

waitf endp

;第3

;录音

MEMROY1 PROC FAR

PUSH BX

MOV BX,KEYNUM

MOV [bx][si+2],AX

INC BX

INC BX

MOV KEYNUM,BX

POP BX

RET

MEMROY1 ENDP

;放音

REPLAY PROC NEAR

PUSH AX

PUSH SI

PUSH DI

TEST1: MOV SI,OFFSET TABLE

PIAY: MOV AX,[SI]

CMP AX,0 ;[SI],0

JZ TEST1

MOV AL,36H

MOV DX,[SI]

OUT DX,AL

MOV AX,[SI]

MOV DX,[SI+1]

OUT DX,AL

MOV AL,AH

OUT DX,AL

MOV DL,10

CALL soundf

INC SI

INC SI

MOV AX,4C00H

INT 21H

PLAY2: CALL soundf

POP DI

POP SI

POP AX

Show fi

RET

REPLAY ENDP

Code ENDS

END Start ;编译到此结束

运行结果为:

※检测问题:之前由于整合有误,导致我们的结果出现了2种情况,第1种是当我们选择菜单中的1时结果正常,菜单循环,选择2时字体隐藏,但功能不变,选择3时没有反应;第2种情况是菜单出现了,跳过1直接选2时一切正常,选3时出错。经过老师的帮助,知道了我们出错的原因是把主程序和子程序混乱搭配,重新整理了之后,对于结构和结果都比较完善,但由于我们的回放子程序没有写对,所以只能回放1个音符,但能力有限,时间也不够,所以就只能这样了,但整体还是算完成了任务的。

六、方案比较

与一些制作较为精良的电子琴相比,我们的还有很多可以更改的地方,比如可可以调节声音的大小,设计更为美观的图形用户界面,关于乐曲节奏的部分也有待改进。但我们的组员在这几天里也确实花了不少精力在此次课程设计,我们还会不断完善程序的各项功能。

七、参考文献

1.微型计算机原理与接口技术(第三版)

2. https://www.wendangku.net/doc/7612048611.html,/view/c302c37931b765ce05081416.html

3. https://www.wendangku.net/doc/7612048611.html,/view/6b74ba0d6c85ec3a87c2c540.html

4. https://www.wendangku.net/doc/7612048611.html,/xj/2008/wjyl/zwy/05/01webcourse/UNIT51/JXLR

5.HTM

简易电子琴课程设计报告

摘要 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器,它在音奏中已成为不可缺少的一部分。本文主要介绍运用555定时器制作简易电子琴的设计方法。该方法利用555定时器构成多谐振荡器,通过按键控制不同的RC组合应用多谐振荡器产生不同频率八个基本音阶的脉冲信号波,然后连到扬声器上,即可发出八音阶的音乐。在该设计中,利用了555定时器构成的多谐振荡器产生各音阶不同频率的脉冲,不仅仅使其频率调节更加方便,而且发出的声音稳定、饱满。 前言 (1) 第一章设计内容及要求 (2) 1.1 设计的基本原理 (2) 1.2 设计要求 (2) 第二章系统组成及工作原理 (3) 2.1 系统组成 (3) 2.1.1 按键模块 (3) 2.1.2音调发生模块 (3) 2.1.3音响模块 (4) 2.2 工作原理 (4) 2.2.1 NE555多谐振荡器 (5) 2.2.2 LM386集成功率放大器 (7) 第三章方案比较 (8) 3.1 方案一 (8) 3.2 方案二 (9) 3.3方案三 (10) 3.4方案分析与比较 (11) 第四章参数计算、器件选择 (12) 4.1 参数计算 (12) 4.2 器件选择 (12) 第五章系统调试及测试结果分析 (14) 5.1 系统调试 (18) 关键词:简易电子琴,555定时器,多谐振荡器,八个基本音阶 目录

5.2 硬件调试···················································19 2 5.3 测试结果与分析 (19) 前言 随着当代科学设计的发展,电子产品在人们的日常生活中占据着越来越多重要的地位。电子琴作为其中的一个典型代表,引领着许多孩子进入音乐的殿堂。因此,我们选择了简易电子琴这个题目来制作,因为它不仅能过提高实际动手能力,还与实际生活有着紧密的联系。 模拟电子技术基本教程是一门实践性很强的课程,而此次课程设计依据的理论基础是模拟电子技术基本教程,其主要目的是通过本课程的培养,启发学生的创造性思维,进一步探究书本知识。本课程设计是设计出一个电子产品,先焊接好,再进行检验。 在电子课程设计的过程中,系统的概念十分重要,熟悉从系统的层次分析问题、解决问题的方式。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法以外、要特别注重使用“电路拼装”的方法。课程设计的一般步骤如下:(1)、选择一个课题;(2)、查阅有关资料;(3)、进行可行论证;(4)、通过设计方案的比较,定出最优的设计方案;(5)、分解为多个模块;(6)、分别设计各个功能模块电路,并完成调试;(7)、组装成完整的数字系统;(8)、编写设计、安装、调试报告。 1 第一章设计原理及要求 1.1 设计的原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 5.4 误差分析 (19) 实验小结及心得体会 (20) 结论······························································21 参考 文献·························································22 附录一····························································23 附录二···························································· 24 3

电子琴微机原理基于8086课程设计

文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持. 湖南科技大学 潇湘学院信息与电气工程系 《课程设计报告》 题目:基于8086的电子琴设计 专业:通信工程 班级:通信一班 姓名:罗婷 学号: 18 指导老师:欧青立陈君宋芳管志利李目 2015年 12 月 28 日 1文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持.

文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持. 信息与电气工程系 课程设计任务书 2015—2016 学年第 1 学期 专业:通信工程学号: 18 姓名:罗婷 课程设计名称:微机原理与接口技术 设计题目:基于8056的电子琴设计 完成期限:自 2015 年 12 月 14 日至 2015 年 12 月 26 日共 2 周 设计内容: 通过 8255 和 8253 来实现电子琴模拟,主要可以分成两部分,分别为输入部分和发音部分。输入部分:主要是由 8255 和 8 个常开型开关来完成。发音部分:CUP 通过对定时器 8253 的通道 2 进行编程,使其 I/O 寄存器接收一个控制声音频率的 16 位计数值,端口 61H 的最低位控制通道 2 门控的开断,以产生特殊的音响。本文用到的是 8253 的方式 3——方波发生器。 基本要求: 1.以8255接八个开关K1~K8,做电子琴按键输入。 2.以8253控制扬声器,拨动不同的开关,发出相应的音阶。 要求: 指导教师(签字): 批准日期:年月日 摘要 定时器/ 计数器8253 可以产生不同频率的信号, 经过三极管放大驱动扬声器发出不同的音阶。硬件上以8086 作为核心处理单元, 将8253 的输出端连接音频模块。软件上检测按键是否有键按下, 判断按键并驱动对应发音子程序。通用MIDI音源模块制作制作出的电子琴,结构简单,可靠性高,并且价格低廉,具有实用的价值。这种电子琴能够支持单音和复音弹奏,如果与高品质的音源芯片连接,音质更可与高档电子琴相媲美。手机中通用的音乐芯片构成音源模块,效果不错,价格更低廉,如韩国产的2文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持.

简易电子琴电路的设计 (2)

1. 技术指标 (1) 2. 设计方案及其比较 (1) 2.1 方案一 (1) 2.2 方案二 (3) 2.3 方案比较 (6) 3. 实现方案 (6) 4. 调试过程及结论 (10) 5. 心得体会 (16) 6. 参考文献 (16)

简易电子琴电路的设计 1.技术指标 设计一个玩具电子琴,设8个琴键,分别代表1、2、3、4、5、6、7、?八个不同音符,每按下一个琴键,扬声器发出一个音符的声音。演奏时的音量和节拍可以调节。 2.设计方案及其比较 2.1 方案一 选用RC振荡电路和运算放大器构成简易电子琴电路。RC振荡电路的具体电路为文氏桥正弦振荡电路。电路原理图如下图1。 图1 简易电子琴电路原理图

R串联,两者共同构成RC串并联选频网络。由于选其中1C和按键电阻并联,2C和12 频网络的相移为零,这样RC串并联选频网络送到运算放大器同相输入端的信号电压与输 出电压同相,所以RC反馈网络形成正反馈,满足相位平衡条件,因而可以形成振荡。 由于振荡的能量是电源,激励信号源是电路中的噪声,它的频谱丰富,包含频率成分 f;但由于噪声信号极其微弱,在振荡期间应使信号做增幅振荡,为此合理选择电阻使0 ω信号就会通过正反馈而使得输出信号不断增大,使输出幅环路增益大于1,这样频率为0 度越来越大,最后受电路中非线性元件的限制,使振荡幅度自动稳定下来,电路进入等幅振荡。频率0f之外的信号由于不满足振荡平衡条件,将不会在输出信号中出现,RC选频网络实现了信号频率的选择功能。 按键电阻的选择:查阅资料得知八个音阶的频率如下表1: 表1 八个音阶的频率 音符 1 2 3 4 5 6 7 8 f264 297 330 352 396 440 495 528 由于1C的值确定为0.1uF,由公式: fπ2/1 RC =(1) f 0= 并结合表一计算可得电阻阻值分别为(单位:欧姆): 36 R3. k 1=(2) 28 k R7. 2=(3) 23 R3. k 3=(4) 20 k R4. 4=(5) 16 k R2. 5=(6) k 13 R1. 6=(7) R3. 10 k 7=(8) R1.9 k 8=(9)通过阻值选择电阻器件。 电路要求不仅能够振荡,而且能够稳幅。当振荡输出信号小于放大器的最大输出电压时,输出为正弦波。如前所述,环路增益大于1,这样信号幅度在正反馈的作用下不断增

电子琴设计(微机原理课程设计)

微机原理课程设计电子琴设计

一、设计内容 编写一实现电子琴的程序,并实现若干扩展功能。 基本功能:用键盘输入对应的七个音阶,通过实验箱的喇叭发出声音,并通过七段数码管显示输入音阶; 扩展功能:1、在程序中可预设多首曲目,按一键可以进入预设子菜单,对要播放的曲目进行选择,并实现播放该曲目。 2、程序运行时有友好的用户介面。 3. 当弹奏不同音阶时,双色点阵LED显示器显示不同的图案 二、元件与仪器 1.计时器8253 2.并行控制器8255A 3.实验台 三、设计原理、思路及流程图 1、设计原理 (1)对于一个特定的D/A转换接口电路,CPU执行一条输出指令将数据送入D/A,即可在其输出端得到一定的电压输出。给D/A转换器输入按正弦规律变化的数据,在其输出端即可产生正弦波。对于音乐,每个音阶都有确定的频率。各音阶标称频率值: (2)产生一个正弦波的数据可取32个(小于亦可),不同频率的区别,可通过调节向D/A转换器输出数据的时间间隔,例如:发"1"频率为261。1HZ,周期为1/261.1=3.83ms,输出数据的时间间隔为3.83ms/32=0.12ms. 定时时间可以由8253配合8255来实现。按下某键后发音时间的长短可以由发出的正弦波的个数多少来控制。本程序中设置默认长度为60个正弦波。

(3)实验台上的七段数码管为共阴型,段码采用同相驱动,输入端加高电平,选中的数码管亮,位码加反相驱动器,位码输入端高电平选中。 (4) 点阵LED显示器是将许多LED类似矩阵一样排列在一起组成的显示器件,双色点阵LED是在每一个点阵的位置上有红绿或红黄或红白两种不同颜色的发光二极管。当微机输出的控制信号使得点阵中有些LED发光,有些不发光,即可显示出特定的信息,包括汉字、图形等。车站广场由微机控制的点阵LED大屏幕广告宣传牌随处可见。 (5)实验仪上设有一个共阳极8×8点阵的红黄两色LED显示器,其点阵结构如图所示。该点阵对外引出24条线,其中8条行线,8条红色列线,8条黄色列线。若使某一种颜色、某一个LED发光,只要将与其相连的行线加高电平,列线加低电平即可。 例如欲显示汉字“年”,采用逐列循环发光。首先由“年”的点阵轮廓,确定点阵代码(如图所示)根据“年”的点阵代码,确定逐列循环发光的顺序如下: ①行代码输出 44H;红色列代码输 01H;第一列2个红色LED发光。 ②行代码输出 54H;红色列代码输 02H;第二列3个红色LED发光。 ③行代码输出 54H;红色列代码输 04H;第三列3个红色LED发光。 ④行代码输出 7FH;红色列代码输 08H;第四列7个红色LED发光。 ⑤行代码输出 54H;红色列代码输 10H;第五列3个红色LED发光。 ⑥行代码输出 DCH;红色列代码输 20 H;第六列5个红色LED发光。 ⑦行代码输出 44H;红色列代码输 40 H;第七列2个红色LED发光。 ⑧行代码输出 24H;红色列代码输 80 H;第八列2个红色LED发光。

数电课程设计--简易电子琴

目录 1 设计任务 (1) 1.1 基本任务 (1) 1.2 扩展任务 (1) 2 设计方案原理 (1) 3 单元电路的设计 (2) 3.1 多谐振荡器 (2) 3.2 琴键开关 (3) 3.3 扩音器(喇叭) (4) 3.4 器件选择 (4) 4 电路图的绘制 (5) 5 电路的仿真及调试 (6) 6 体会 (6) 参考文献 (8)

1设计任务 电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。 本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。 本次设计的任务为: 1.1基本任务 ①具备8个按键,能够分别较准确地弹奏出1?1八个音符。 ②选择电路方案,完成对确定方案电路的设计。计算电路元件参 数与元件选择、并画出总体电路原理图,阐述基本原理。用 Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计 报告书。 1.2扩展任务 ①能够弹奏出至少21个音符(三个音阶)。 ②能够较便捷地完成音阶的升降。(按一个开关实现升8度,按另一个开关实现降 8度) 2设计方案原理 本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外接电阻的阻值来改变振荡器输出脉冲的频率,驱动喇叭发出各种音阶。电子琴所用琴键即为改变电阻阻值的开关,通过改变阻值使输出与琴键音阶相对应。

原理框图如下: 图1原理框图 3单元电路的设计 3.1多谐振荡器 利用多谐振荡器产生周期脉冲电路图如下图所示 图2 多谐振荡器电路实现 图中引脚功能: 1脚:GND或Vss)外接电源负端VSS或接地,一般情况下接地。 2脚:TR低触发端。 3脚:OUT(或Vo)输出端。 4脚:Rd是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH 处于何电平,时基电路输出为“ o”,该端不用时应接高电平。 5脚:CO或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的

微机原理课程设计-电子琴设计

前言 本实验是利用微机原理试验箱、8255芯片、8254芯片、键盘、扬声器等硬件设备,设计简易电子琴。 首先利用了编程程序,编辑了8255芯片控制字, 对其进行初始化,使其工作在方式0,即基本输入输出状态,将8255的A端口设置为输出,C端口进行,经CPU运算后, 输出到8254芯片的A端口中,由此实现对其的初始化。 “OUT” 将8254芯片设置为工作在方式3,即输出对称方波状态。A端口为输入, 指令可将输出对应一定频率的方波送到扬声器中,由此实现发声。本实验通过频率大小控制发出声音的高低,通过对延时程序的调用控制发出声音的长短。并通过所编程序实现对键盘的重复扫描,重而可以弹奏多个音符的试验目的。 关键字: 编程、工作方式、运算

一、设计题目: 简易电子琴设计 二、设计内容: 实验电路如图16-1,8253的CLK0接1MHZ时钟,GATE0接8255的PA1,OUT0和8255的PA0接到与门的两个输入端,K8跳线连接喇叭,编程使计算机的数字键 1、2、3、4、5、6、7作为电子琴按键,按下即发出相应的音阶。 三、设计目的: 1、通过8253产生不同的频率信号,使PC机成为简易电子琴。 2、了解利用8255和8253产生音乐的基本方法。 3、通过课程设计使学生更进一步掌握微机原理及应用课程的有关知识,提高应用微机解决问题的能力,加深对微机应用的理解。通过查阅资料,结合所学知识进行软、硬件的设计,使学生初步掌握应用微机解决问题的步骤及方法。为以后学生结合专业从事微机应用设计奠定基础。 四、设计要求: 1.可以弹出7个音阶。 2.弹奏简短音乐。 3.通过改变键盘输入来改变8254输出频率,实现扬声器发音 五、编程提示: 1、利用8255的PA0口来施加控制信号给与门,用来控制扬声器的开关状态。再利用设置不同的计数值,使8253产生不同频率的波形,使扬声器产生不同频率的音调,达到类似与音阶的高低音变换。对于音乐,每个音阶都有确定的频率。各音阶标称频率值: 音阶 1 2 3 4 5 6 7 1* 低频率(单位:Hz) 262 294 330 347 392 440 494 524 高频率(单位:Hz) 524 588 660 698 784 880 988 1048

简易电子琴课程设计

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系 统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

(完整版)基于51单片机简易电子琴的设计

电子琴的设计 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。一. 任务要求与总体设计方案 1.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 1.2 设计方案 1.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率

电子琴微机原理基于8086课程设计

湖南科技大学 潇湘学院信息与电气工程系 《课程设计报告》 题目:基于8086的电子琴设计 专业:通信工程 班级:通信一班 姓名:罗婷 学号:1354040118 指导老师:欧青立陈君宋芳管志利李目

2015年12 月28 日

信息与电气工程系 课程设计任务书 2015—2016 学年第 1 学期 专业:通信工程学号:1354040118 姓名:罗婷 课程设计名称:微机原理与接口技术 设计题目:基于8056的电子琴设计 完成期限:自2015 年12 月14 日至2015 年12 月26 日共 2 周 设计内容: 通过8255 和8253 来实现电子琴模拟,主要可以分成两部分,分别为输入部分和发音部分。输入部分:主要是由8255 和8 个常开型开关来完成。发音部分:CUP 通过对定时器8253 的通道2 进行编程,使其I/O 寄存器接收一个控制声音频率的16 位计数值,端口61H 的最低位控制通道2 门控的开断,以产生特殊的音响。本文用到的是8253 的方式3——方波发生器。 基本要求: 1.以8255接八个开关K1~K8,做电子琴按键输入。 2.以8253控制扬声器,拨动不同的开关,发出相应的音阶。 要求:

指导教师(签字): 批准日期:年月日 摘要 定时器/ 计数器8253 可以产生不同频率的信号, 经过三极管放大驱动扬声器发出不同的音阶。硬件上以8086 作为核心处理单元, 将8253 的输出端连接音频模块。软件上检测按键是否有键按下, 判断按键并驱动对应发音子程序。通用MIDI音源模块制作制作出的电子琴,结构简单,可靠性高,并且价格低廉,具有实用的价值。这种电子琴能够支持单音和复音弹奏,如果与高品质的音源芯片连接,音质更可与高档电子琴相媲美。 手机中通用的音乐芯片构成音源模块,效果不错,价格更低廉,如韩国产的QS6400 等,这些芯片的驱动要复杂一些,需要对芯片进行初始化设置。 此外还有音乐盒、附有生日歌的生日卡片等等。所以利用微机制作的简易电子琴在我们的日常生活中随处可见。 关键词:Altium Designer;masm.5.0 ;8086;8255;8253定时器;

课程设计简易电子琴

课程设计 课程名称 题目名称 专业班级 学生姓名 学号 指导教师 二○--年月日

目录 1 设计任务书 (1) 2 电路总体设计 (2) 3 各部分电路设计 (3) 3.1 R、C选频网络电路的设计 (3) 3.2 波形产生电路 (4) 4 Multisim仿真与数据分析 (7) 5总结 (9) 6致谢 (10) 参考文献 (11) 附录A 总原理图 (12) 附录B 系统元器件清单 (13)

1 设计任务书 设计目的 (1)熟悉数字电子技术课程设计的方法和思想 (2)熟悉仿真软件Multisim使用 (3)进一步理解555多谐振荡器在设计过程中的使用 (4)熟悉555多谐振荡器的应用 (5)熟悉简易电子琴的设计方法和过程 设计思路 (1)先查询简易电子琴的七个音(各包括低、中、高三个音)的频率 (2)再设置充电电阻和固定电容,根据公式算出每个音阶对应电阻的阻值,从而确定R C选频网络电路。 (3)用多谐振荡器产生矩形脉冲驱动蜂鸣器发出不同声音 (4)用仿真软件中的虚拟仪器示波器和频率计测量每一个音阶的波形和频率。

2 电路总体设计 本电路主要是由RC选频网络电路和555定时器构成的多谐振荡器组成。因为设计要实现电子琴dou、ruai、mi、fa、suo、la、si七个音的发声。而每一个音都对应一个频率和电阻,所以通过设计不同的电阻和电容组成R、C选频电路。每一个频率经过多谐振荡器都会产生一个矩形脉冲。因为是在仿真软件中无法实现电子琴发声,采用示波器测量矩形波形和用频率计测量每一个选频网络中对应的每一个频率,再和实际数据相比较来判定发出的声音是否准确。该电路实现了dou、ruai、mi、fa、suo、la、si(各包括低、中、高三个音)的发声仿真。实现了简易电子琴的设计。电路整体框图如下: 图2.1 基本方框图 该电路具有原理简单、容易制作、调试方便等特点。能实现二十一种频率的方波且能驱动喇叭C调的二十一个音阶。其中,稳压电源可以由电脑提供。

简易电子琴设计说明

模拟电子技术课程设计报告 题目名称:简易电子琴 姓名:黄鹏程 学号:150712165 班级: 15电本六班 指导教师:王爱乐 成绩: 工程技术学院 信息工程与自动化系

摘要 随着社会的发展进步,音乐已成为我们生活中很重要的一部分,电子琴则是一种很常见的键盘乐器,是现代电子科技和音乐结合的产物。在各个领域扮演很重要的角色,早已融入现代人们的日常生活中,成为不可替代的一部分。 简易电子琴主要是由8个按键控制,根据固定电阻的不同,从而产生不同的振荡频率,并且将信号放大后由扬声器输出声音。 为了能得到频率不同的波,波形产生部分首先使用了NE555芯片,从而得到振荡的正弦波;将信号传给LM386进行功率放大,使扬声器发出相应的音阶。分块调试测试电子琴,先是震荡电路的线路测试,再是功率放大电路的测试。 经过调试之后,焊接而成的作品能产生8个音调的不同振荡频率的音阶。 关键词:NE555 LM386 频率电子琴

目录 第一章设计任务.............................................. - 4 -1.1设计要求............................................................. - 4 - 1.2设计目的............................................................. - 4 - 1.3总体思想构图......................................................... - 5 -第二章系统组成及工作原理..................................... - 7 - 2.1 NE555简介........................................................... - 7 - 2.2逻辑符号............................................................. - 8 - 2.3 NE555部原理图....................................................... - 9 - 2.4逻辑功能............................................................ - 10 - 555定时器逻辑功能...................................................... - 10 - 2.5 LM386芯片介绍..................................................... - 12 - 2.5.1 外形、管脚排列及电路............................................. - 12 - 2.5.2 LM386主要性能指标................................................ - 12 - 2.6 简易电子琴系统组成.................................................. - 13 - 2.6.1 按键模块.......................................................... - 13 - 2.6.2音调发生模块...................................................... - 13 - 2.6.3音响模块.......................................................... - 13 - 2.7 简易电子琴的工作原理................................................ - 13 -第三章模块定路设计与参数计算................................ - 14 - 3.1波形发生部分........................................................ - 14 - 3.2功率放大部分........................................................ - 15 -第四章系统调试.............................................. - 17 - 4.1 调试步骤........................................................... - 17 - 4.2 调试过程........................................................... - 17 - 4.3 调试结论........................................................... - 17 -参考文献..................................................... - 18 - 附录.............................................. 错误!未定义书签。附录一:元器件清单............................................ 错误!未定义书签。附录二电路仿真.............................................. 错误!未定义书签。附录三制作作品原图......................................... 错误!未定义书签。

微机原理与接口技术_汇编语言,单片机设计简易电子琴

微机原理与接口技术课程设计报告 电子琴(八音盒)

目录 1 课题描述 (1) 1.1 DICE-8086k试验系统 (1) 1.2 8255与8253结构 (1) 1.3功能说明 (4) 2 设计过程 (6) 2.1硬件设计 (6) 2.2软件设计 (6) 2.3系统原理说明 (7) 3 测试 (9) 总结 (10) 附录代码 (11) 参考文献 (13)

1 课题描述 随着社会发展,计算机硬件技术越来越多的应用到生活中的各个领域,人们对软硬件技术结合开发出来的产品的要求越来越迫切,需求日益增加,如今用软硬件结合设计电子产品已经成为了一大时尚。本设计编写的一个用开关模拟电子琴的程序,以8086作为处理器,用8255接八个开关K1~K8,做电子琴按键输入,以8253控制扬声器,拨动不同的开关,发出相应的音阶。其中K1代表静音,K2发si的音,K3发la的音,K4发sol的音, K5发fa的音, K6发mi的音,K7发re的音,K8发do的音。 开发工具:汇编语言;DICE-8086K实验系统。 1.1 DICE-8086k试验系统 8086k试验系统软硬件配置完善,实验电路采用分模块,开放型设计,使实验电路配置灵活,用户可直接运用。系统的主要特点: 1)采用主频为4.77mhz的8086cpu为主cpu,并以最小工作方式构成系统 2)配有两片61c256静态ram构成系统的64k基本内存,地址范围为00000h-0ffffh,其中00000h-oofffh监控占用。 3)自带键盘,显示器,能够独立运行,为实验程序调试带来方便。 4)提供标准rs232异步通讯口,以连接IBM-PC机。 5)支持联机与脱机二种工作方式,系统扩展有EPROM,把所有实验程序都固化在该片中,脱机工作时,只需按一键,即可完成实验程序下载。调用实验程序只须在实验仪键盘输入实验程序入口地址,按[EXEC]键即可,非常便捷。 图1.1 8086cpu引脚图 1.2 8255与8253结构 1) 8255是一个40引脚的双列直插式集成电路芯片.它具有三个8位口,其中A口和B 口是单纯的数据口,供数据I/O使用。而C口则既可以作数据口,又可以作控制口使用,用于实现A口和B口的控制功能。 2)数据传送中A口所需的控制信号由C口高位部分(PC7~PC4)提供,因此把A口和

模电课程设计简易电子琴的设计

1. 模电课设概述 现在的电子琴一般使用PCM或AWM采样音源。所谓采样就是录制乐器的声音,将其数字化后存入ROM里,然后按下键时CPU回放该音。甚至有一些高级编曲键盘可以使用外置采样(比如Tyros 3的硬盘音色)。现代电子琴并非“模仿”乐器音色。它使用的就是真实乐器音色。当然,现在力度触感在电子琴里是必备的。而且现代电子琴还加上了老式电子琴的滤波器,振荡器,包络线控制来制造和编辑音色。甚至也带上了老式电子琴的FM 合成机构。 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出音调,从而达到电子琴固有的基本功能。 2. Proteus软件简介 Proteus软件是由英国LabCenter Electronics公司开发的EDA工具软件,由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑器,它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus软件的模拟仿真直接兼容厂商的SPICE模型,采用了扩充的SPICE3F5电路仿真模型,能够记录基于图表的频率特性、直流电的传输特性、参数的扫描、噪声的分析、傅里叶分析等,具有超过8000种的电路仿真模型。 Proteus软件支持许多通用的微控制器,如PIC、AVR、HC11以及8051;包含强大的调试工具,可对寄存器、存储器实时监测;具有断点调试功能及单步调试功能;具有对显示器、按钮、键盘等外设进行交互可视化仿真的功能。此外,Proteus可对IAR C-SPY、KEIL 等开发工具的源程序进行调试。 此外,在Proteus中配置了各种虚拟仪器,如示波器、逻辑分析仪、频率计,便于测量和记录仿真的波形、数据。 3. 简易电子琴基本原理 3.1 音乐产生原理 由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我

北邮模电综合实验-简易电子琴的设计与实现.

电子测量与电子电路实验课程设计 题目: 简易电子琴的设计和制作 姓名孙尚威学院电子工程学院 专业电子信息科学与技术 班级学号班内序号指导教师陈凌霄 2015年 4 月 目录 一、设计任务与要求 (3) 1.1 设计任务与要求 (3) 1.2 选题目的与意义 (3) 二、系统设计分析 (3) 2.1系统总体设计 (3) 2.2 系统单元电路设计 (4) 2.2.1 音频信号产生模块 (4) 2.2.2 功率放大电路 (7) 2.2.3 开关键入端(琴键) (8) 三、理论值计算 (9) 3.1 音阶频率对应表 (9) 3.2 键入电路电阻计算 (9) 四、电路设计与仿真 (10) 4.1 电路设计 (10) 4.2 Multisim仿真 (11) 五、实际电路焊接 (11) 六、系统调试 (13)

6.1 系统测试方案 (13) 6.2 运行结果分析 (14) 七、设计体会与实验总结 (15) 一、设计任务与要求 1.1 设计任务与要求 了解由555定时器构成简易电子琴的电路及原理。设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。 1.2 选题目的与意义 (1)培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程问题的能力。 (2)学习较复杂的电子系统设计的一般方法,了解和掌握模拟,数字电路等知识解决电子信息方面常见实际问题的能力。 (3)学习调试电子电路的方法,提高实际动手能力。了解由555定时器构成简易电子琴的电路及原理。 二、系统设计分析 2.1系统总体设计 由555电路组成的多谐振荡器,它的振荡频率可以通过改变振荡电路中的RC元件的数值进行改变。根据这一原理,通过设定一些不同的RC数值并通过控制电路,按照一定的规律依次将不同值的RC组件接 入振荡电路,就可以使振荡电路按照设定的需求,有节奏的发出已设定的音频信号,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生音符。 图1:系统组成框图 2.2 系统单元电路设计 2.2.1 音频信号产生模块 利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐。555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。

微机原理课程设计—电子琴的设计之令狐文艳创作

课程设计任务书 令狐文艳 学生姓名:刘沛专业班级:电气0901 指导教师:张锐工作单位:自动化学院 题目:计算机电子琴软件软件设计 初始条件: 1.用于调试程序的IBM兼容PC机一台; 2.安装DOS/WIN98操作系统或运行于DOS/ WIN98兼容方式; 3. EDIT 等文本编辑器输入80x86汇编程序,MASM 5.0编译及链接程序。 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1.使用汇编语言设计一个运行于计算机的电子琴软件,软件应实现弹奏功或演奏。其中弹奏:用户每按一琴键弹奏相应音符;演奏:按下某键后自动弹奏预存琴谱功能;还可以附加变调、变速和记录创作等其它功能。 2.说明书撰写格式应符合《课程设计说明书统一书写格式》。

时间安排: 1.程序框图设计,3天 2.编程,4天 3.调试,3天 4.编写设计报告,4天 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要································································I 1 设计任务和思路 (1) 1.1设计任务 (1) 1.2设计思路 (1) 2更件部分工作原理 (2) 2.1 8255工作原理 (2) 2.2 8253工作原理 (2) 3程序流程图 (4) 3.1主程序流程图 (4) 3.2演奏子程序流程 (5) 3.3音乐播放子程序流程 (6) 3.4弹奏子程序流程图 (6) 3.5发音子程序流程图 (7) 4各部分功能实现说明 (7) 4.1 发音部分功能实

简易电子琴课程设计报告超详细

广州大学机械与电气工程学院 电子信息工程系 课 程 设 计 报 告 课程名称:电子技术课程设计 设计题目:简易电子琴 专业班级:电子信息工程 2 班 设计者:苏伟强 学号: 51 06 指导教师:秦剑彭绍湖

设计所在学期: 2016~2017学年第 2 学期 设计所在时间: 2014年7月6日-12日 地点: 电子信息实验楼314 315 目录 一课程设计题目 (3) 1 题目分析理解 二设计任务及要求 (3) 1 要求 2 任务安排 3 进度安排 三电路设计 (4) 1 方案论证 2 单元电路设计与数据分析 文氏桥正弦波震荡电路 LM386组成的功率放大电路 3 确认理论参数 四电路仿真............................................................................. (13)

1 multisim仿真图 2 仿真结果 3 误差分析及总结 五元器件的选择......................................................................... .. (19) 1 元件分析 1 元件清单 六 PCB设计......................................................................... ..................................................错误!未定义书签。0 1 原理图设计 2 选择封装 3 生成PCB 七制作与调试......................................................................... (22) 1 电路板的热转印,焊接元器件 2 故障排除并且接通电源 3 调试过程 4 数据记录和分析 八试验中遇到的问题 (25) 1 仿真过程遇到的问题 2 制作PCB遇到的问题 3 电路调试的时候遇到的问题 九心得体会 (26) 十参考文献............................................................................. . (27)

简易电子琴电路课程设计(模拟电路)

逗你玩 课程设计报告 课程名称:模拟电子技术课程设计 专业班级:电子信息工程(2)班 学生学号: 0705110931 学生姓名:夏柳 所属院部:信息技术学院 指导教师:王雪 20 08 ——20 09 学年第 2 学期

《模拟电子技术》课程设计报告 --------简易电子琴的制作 简易电子琴电路 摘要: 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。当然在这之前对焊点等要事先查阅资料,了解手工焊接技术;查阅有关4100芯片,741芯片的功能等参数,还有测试其芯片是否好坏的电路和方法;同时还要了解RC振荡电路,与其产生振荡的条件跟原理,选择稳幅电路,理解其稳幅的原理;当然还要计算八个音阶的产生的频率,再根据RC振荡电路计算电阻值,以便选择合适的电阻,这些都是课前准备。测试电子琴我们要一步一步的,首先是振荡电路的线路测试,其次选频电路的测试,功放电路的测试,最后再是总体测试,尽量消除噪音,使音质能够很清晰。这样电子琴我们就做好了。 关键图:

电子琴的主干图

第一部分:课前准备 1.1芯片性能指标 1.2手工焊接技术 1.3元件制作工艺 第二部分:设计方案及选定 2.1八个音阶的频率 2.2振荡电路的选择与设计 2.3八个电阻的选择 2.4稳幅方式的选择 2.5功率放大电路的设计 第三部分:简易电子琴电路的检测与误差分析 3.1芯片测试 3.2振荡电路测试 3.3电子琴的测试 第四部分:元器件清单 第五部分:心得体会 第六部分:参考文献

相关文档
相关文档 最新文档