文档库 最新最全的文档下载
当前位置:文档库 › 各公司IC笔试题大全

各公司IC笔试题大全

各公司IC笔试题大全
各公司IC笔试题大全

IC设计笔试

资料来源:

https://www.wendangku.net/doc/7213034869.html,/show_hdr.php?xname=S506A01&dname=UVAV K11&xpos=1

以下是原文:

EE笔试/面试题目集合分类--IC设计基础

1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路

相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA等的概念)。(仕兰微面试题目)

2、FPGA和ASIC的概念,他们的区别。(未知)

答案:FPGA是可编程ASIC。

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点

模拟电路

1、基尔霍夫定理的内容是什么?(仕兰微电子)

2、平板电容公式(C=εS/4πkd)。(未知)

3、最基本的如三极管曲线特性。(未知)

4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)

5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)

8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺

点,特别是广泛采用差分结构的原因。(未知)

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知)

11、画差放的两个输入管。(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的

运放电路。(仕兰微电子)

13、用运算放大器组成一个10倍的放大器。(未知)

14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题)

15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C

上电压和R上电

压,要求绘制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤

波器。当RC<16、有源滤波器和无源滤波器的原理及区别?(新太硬件)

17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、带通、高通滤波器后的信号表示方式。(未知)

18、选择电阻时要考虑什么?(东信笔试题)

19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管

还是N管,为什么?(仕兰微电子)

20、给出多个mos管组成的电路求5个点的电压。(Infineon笔试试题)

21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述其优缺点。(仕兰微电子)

22、画电流偏置的产生电路,并解释。(凹凸)

23、史密斯特电路,求回差电压。(华为面试题)

24、晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期....) (华为面试题)

25、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。(仕兰微电子)

26、VCO是什么,什么参数(压控振荡器?) (华为面试题)

27、锁相环有哪几部分组成?(仕兰微电子)

28、锁相环电路组成,振荡器(比如用D触发器如何搭)。(未知)

29、求锁相环的输出频率,给了一个锁相环的结构图。(未知)

30、如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举。(未

知)

31、一电源和一段传输线相连(长度为L,传输时间为T),画出终端处波形,考虑传输线

无损耗。给出电源电压波形图,要求绘制终端波形图。(未知)

32、微波电路的匹配电阻。(未知)

33、DAC和ADC的实现各有哪些方法?(仕兰微电子)

34、A/D电路组成、工作原理。(未知)

35、实际工作所需要的一些技术知识(面试容易问到)。如电路的低功耗,稳定,高速如何

做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就不一样了,不好说什么了。(未知)

_____________________________________________________________________ __

数字电路

1、同步电路和异步电路的区别是什么?(仕兰微电子)

2、什么是同步逻辑和异步逻辑?(汉王笔试)

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果

关系。

3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)

线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc

门来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门。同时在输出端口应加一个上拉电阻。

4、什么是Setup 和Holdup时间?(汉王笔试)

5、setup和holdup时间,区别.(南山之桥)

6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知)

7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA2003.11.06 上海笔试试题)

Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

metastability的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。

8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。(仕兰微电子)

9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)

在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决

方法:一是添加布尔式的消去项,二是在芯片外部加电容。

10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试)

常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL 是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL 是可以直接互连。TTL接CMOS需要在输出端口加一上拉电阻接到5V或者12V。

11、如何解决亚稳态。(飞利浦-大唐笔试)

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平

上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无

用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

12、IC设计中同步复位与异步复位的区别。(南山之桥)

13、MOORE 与 MEELEY状态机的特征。(南山之桥)

14、多时域设计中,如何处理信号跨时域。(南山之桥)

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦-

大唐笔试)

Delay < period - setup – hold

16、时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min。组合逻辑电路最大延迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件。(华为)

17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有 clock的delay,写出决定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题)

18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题)

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛

VIA 2003.11.06 上海笔试试题)

20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。(未知)

21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优

点),全加器等等。(未知)

22、卡诺图写出逻辑表达使。(威盛VIA 2003.11.06 上海笔试试题)

23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)

24、

please show the CMOS inverter schmatic,layout and its cross sectionwi th P- well process.Plot its transfer curve (Vout-

Vin) And also explain the operation region of PMOS and NMOS for each segment of the transfer curve? (威盛笔试题

circuit design-beijing-03.11.09)

25、

To design a CMOS invertor with balance rise and fall time,please defi ne the ration of channel width of PMOS and NMOS and explain?

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)

27、用mos管搭出一个二输入与非门。(扬智电子笔试)

28、

please draw the transistor level schematic of a cmos 2 input AND gate and explain which input has faster response for output rising edge.( less delay

time)。(威盛笔试题circuit design-beijing-03.11.09)

29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔

试)

30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06 上海笔试试题)

31、用一个二选一mux和一个inv实现异或。(飞利浦-大唐笔试)

32、画出Y=A*B+C的cmos电路图。(科广试题)

33、用逻辑们和cmos电路实现ab+cd。(飞利浦-大唐笔试)

34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)。(仕兰微电子)

35、利用4选1实现F(x,y,z)=xz+yz'。(未知)

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化

简)。

37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形。

(Infineon笔试)

38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并说明为什

么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知)

39、用与非门等设计全加法器。(华为)

40、给出两个门电路让你分析异同。(华为)

41、用简单电路实现,当A为输入时,输出B波形为…(仕兰微电子)

42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。(未知)

43、用波形表示D触发器的功能。(扬智电子笔试)

44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试)

45、用逻辑们画出D触发器。(威盛VIA 2003.11.06 上海笔试试题)

46、画出DFF的结构图,用verilog实现之。(威盛)

47、画出一种CMOS的D锁存器的电路图和版图。(未知)

48、D触发器和D锁存器的区别。(新太硬件面试)

49、简述latch和filp-flop的异同。(未知)

50、LATCH和DFF的概念和区别。(未知)

51、latch与register的区别,为什么现在多用register.行为级描述中latch 如何产生的。(南山之桥)

52、用D触发器做个二分颦的电路.又问什么是状态图。(华为)

53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)

54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)

55、

How many flip-flop circuits are needed to divide by 16? (Intel) 16分频?

56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出carryout和next-stage. (未知)

57、用D触发器做个4进制的计数。(华为)

58、实现N位Johnson Counter,N=5。(南山之桥)

59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子)

60、数字电路设计当然必问Verilog/VHDL,如设计计数器。(未知)

61、BLOCKING NONBLOCKING 赋值的区别。(南山之桥)

65、请用HDL描述四位的全加法器、5分频电路。(仕兰微电子)

66、用VERILOG或VHDL写一段代码,实现10进制计数器。(未知)

67、用VERILOG或VHDL写一段代码,实现消除一个glitch。(未知)

68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解

的)。(威盛VIA 2003.11.06 上海笔试试题)

69、描述一个交通信号灯的设计。(仕兰微电子)

70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。(扬智电子笔试)

71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱

数。(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求。(未知)

72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:(1)

画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计

工程中可使用的工具及设计大致过程。(未知)

73、画出可以检测10010串的状态图,并verilog实现之。(威盛)

74、用FSM实现101101的序列检测模块。(南山之桥)

a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0。

例如a: 0001100110110100100110

b: 0000000000100100000000

请画出state machine;请用RTL描述其state machine。(未知)

78、sram,falsh memory,及dram的区别?(新太硬件面试)

79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官205页图9

-14b),问你有什么办法提高refresh time,总共有5个问题,记不起来了。(降低温

度,增大电容存储容量)(Infineon笔试)

81、名词:sram,ssram,sdram

名词IRQ,BIOS,USB,VHDL,SDR

IRQ: Interrupt ReQuest

BIOS: Basic Input Output System

USB: Universal Serial Bus

VHDL: VHIC Hardware Description Language

SDR: Single Data Rate

压控振荡器的英文缩写(VCO)。

动态随机存储器的英文缩写(DRAM)。

名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline、IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),

FIR IIR DFT(离散

傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡

IC设计笔试

时间: 2007-01-06 17:50:01 | [<<][>>]

EE笔试/面试题目集合分类--IC设计基础

1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路

相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA等的概念)。(仕兰微面试题目)

2、FPGA和ASIC的概念,他们的区别。(未知)

答案:FPGA是可编程ASIC。

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点

模拟电路

1、基尔霍夫定理的内容是什么?(仕兰微电子)

2、平板电容公式(C=εS/4πkd)。(未知)

3、最基本的如三极管曲线特性。(未知)

4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)

5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)

8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺

点,特别是广泛采用差分结构的原因。(未知)

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知)

11、画差放的两个输入管。(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的

运放电路。(仕兰微电子)

13、用运算放大器组成一个10倍的放大器。(未知)

14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题)

15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C

上电压和R上电

压,要求绘制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤

波器。当RC<16、有源滤波器和无源滤波器的原理及区别?(新太硬件)

17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、带通、高通滤波器后的信号表示方式。(未知)

18、选择电阻时要考虑什么?(东信笔试题)

19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P管

还是N管,为什么?(仕兰微电子)

20、给出多个mos管组成的电路求5个点的电压。(Infineon笔试试题)

21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述其优缺点。(仕兰微电子)

22、画电流偏置的产生电路,并解释。(凹凸)

23、史密斯特电路,求回差电压。(华为面试题)

24、晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期....) (华为面试题)

25、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。(仕兰微电子)

26、VCO是什么,什么参数(压控振荡器?) (华为面试题)

27、锁相环有哪几部分组成?(仕兰微电子)

28、锁相环电路组成,振荡器(比如用D触发器如何搭)。(未知)

29、求锁相环的输出频率,给了一个锁相环的结构图。(未知)

30、如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举。(未

知)

31、一电源和一段传输线相连(长度为L,传输时间为T),画出终端处波形,考虑传输线

无损耗。给出电源电压波形图,要求绘制终端波形图。(未知)

32、微波电路的匹配电阻。(未知)

33、DAC和ADC的实现各有哪些方法?(仕兰微电子)

34、A/D电路组成、工作原理。(未知)

35、实际工作所需要的一些技术知识(面试容易问到)。如电路的低功耗,稳定,高速如何

做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就不一样了,不好说什么了。(未知)

_____________________________________________________________________ __

数字电路

1、同步电路和异步电路的区别是什么?(仕兰微电子)

2、什么是同步逻辑和异步逻辑?(汉王笔试)

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。

3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)

线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc

门来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门。同时在输出端口

应加一个上拉电阻。

4、什么是Setup 和Holdup时间?(汉王笔试)

5、setup和holdup时间,区别.(南山之桥)

6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知)

7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA2003.11.06 上海笔试试题)

Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF 将不能正确地采样到数据,将会出现

metastability的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。

8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。(仕兰微电子)

9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)

在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决

方法:一是添加布尔式的消去项,二是在芯片外部加电容。

10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试)

常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL 是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接CMOS需要在输出端口加一上拉电阻接到5V或者12V。11、如何解决亚稳态。(飞利浦-大唐笔试)

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平

上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无

用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

12、IC设计中同步复位与异步复位的区别。(南山之桥)

13、MOORE 与 MEELEY状态机的特征。(南山之桥)

14、多时域设计中,如何处理信号跨时域。(南山之桥)

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦-大唐笔试)

Delay < period - setup – hold

16、时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min。组合逻辑电路最大延迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时

间应满足什么条件。(华为)

17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有 clock的delay,写出决定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题)

18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题)

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛VIA 2003.11.06 上海笔试试题)

20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。(未知)

21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优

点),全加器等等。(未知)

22、卡诺图写出逻辑表达使。(威盛VIA 2003.11.06 上海笔试试题)

23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)

24、 please show the CMOS inverter schmatic,layout and its cross sectionwith P-well process.Plot its transfer curve (Vout-Vin) And also explain the operation region of PMOS and NMOS for each segment of the transfer curve? (威盛笔试题circuit design-beijing-03.11.09)

25、To design a CMOS invertor with balance rise and fall time,please define the ration of channel width of PMOS and NMOS and explain?

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)

27、用mos管搭出一个二输入与非门。(扬智电子笔试)

28、 please draw the transistor level schematic of a cmos 2 input AND gate and explain which input has faster response for output rising edge.(less delay

time)。(威盛笔试题circuit design-beijing-03.11.09)

29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔

试)

30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06 上海笔试试题)

31、用一个二选一mux和一个inv实现异或。(飞利浦-大唐笔试)

32、画出Y=A*B+C的cmos电路图。(科广试题)

33、用逻辑们和cmos电路实现ab+cd。(飞利浦-大唐笔试)

34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)。(仕兰微电子)

35、利用4选1实现F(x,y,z)=xz+yz'。(未知)

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化

简)。

37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形。

(Infineon笔试)

38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并

说明为什

么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知)

39、用与非门等设计全加法器。(华为)

40、给出两个门电路让你分析异同。(华为)

41、用简单电路实现,当A为输入时,输出B波形为…(仕兰微电子)

42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。(未知)

43、用波形表示D触发器的功能。(扬智电子笔试)

44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试)

45、用逻辑们画出D触发器。(威盛VIA 2003.11.06 上海笔试试题)

46、画出DFF的结构图,用verilog实现之。(威盛)

47、画出一种CMOS的D锁存器的电路图和版图。(未知)

48、D触发器和D锁存器的区别。(新太硬件面试)

49、简述latch和filp-flop的异同。(未知)

50、LATCH和DFF的概念和区别。(未知)

51、latch与register的区别,为什么现在多用register.行为级描述中latch 如何产生的。(南山之桥)

52、用D触发器做个二分颦的电路.又问什么是状态图。(华为)

53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)

54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)

55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频?

56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和

current-stage,输出carryout和next-stage. (未知)

57、用D触发器做个4进制的计数。(华为)

58、实现N位Johnson Counter,N=5。(南山之桥)

59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子)

60、数字电路设计当然必问Verilog/VHDL,如设计计数器。(未知)

61、BLOCKING NONBLOCKING 赋值的区别。(南山之桥)

65、请用HDL描述四位的全加法器、5分频电路。(仕兰微电子)

66、用VERILOG或VHDL写一段代码,实现10进制计数器。(未知)

67、用VERILOG或VHDL写一段代码,实现消除一个glitch。(未知)

68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解

的)。(威盛VIA 2003.11.06 上海笔试试题)

69、描述一个交通信号灯的设计。(仕兰微电子)

70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。(扬智电子笔试)

71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱

数。(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求。(未知)

72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:(1)

画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求;(3)设计

工程中可使用的工具及设计大致过程。(未知)

73、画出可以检测10010串的状态图,并verilog实现之。(威盛)

74、用FSM实现101101的序列检测模块。(南山之桥)

a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0。

例如a: 0001100110110100100110

b: 0000000000100100000000

请画出state machine;请用RTL描述其state machine。(未知)

78、sram,falsh memory,及dram的区别?(新太硬件面试)

79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官205页图9

-14b),问你有什么办法提高refresh time,总共有5个问题,记不起来了。(降低温

度,增大电容存储容量)(Infineon笔试)

81、名词:sram,ssram,sdram

名词IRQ,BIOS,USB,VHDL,SDR

IRQ: Interrupt ReQuest

BIOS: Basic Input Output System

USB: Universal Serial Bus

VHDL: VHIC Hardware Description Language

SDR: Single Data Rate

压控振荡器的英文缩写(VCO)。

动态随机存储器的英文缩写(DRAM)。

名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline、IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散

傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡

_____________________________________________________________________ _______

IC设计基础(流程、工艺、版图、器件)

1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路

相关的内容

(如讲清楚模拟、数字、双极型、CMOS、MCU(MCU(MicroControllerUnit)中文名称为多点控制单元,又称单片微型计算机 (SingleChipMicrocomputer),是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O 接口集成在一片芯片上,形成芯片级的计算机,为不同的应用场合做不同组合控制。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASHROM等类型。MASKROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSHROM的MCU程序可以反复擦

写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTPROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。微控制器在经过这几年不断地研究,发展,历经4位,8位,到现在的16位及32位,甚至64位。产品的成熟度,以及投入厂商之多,应用范围之广,真可谓之空前。目前在国外大厂因开发较早,产品线广,所以技术领先,而本土厂商则以多功能为产品导向取胜。但不可讳言的,本土厂商的价格战是对外商造成威胁的关键因素。由于制程的改进,8位MCU与4位MCU价差相去无几,8位已渐成为市场主流;目前4位MCU大部份应用在计算器、车用仪表、车用防盗装置、呼叫器、无线电话、CD播放器、LCD驱动控制器、LCD游戏机、儿童玩具、磅秤、充电器、胎压计、温湿度计、遥控器及傻瓜相机等;8位 MCU大部份应用在电表、马达控制器、电动玩具机、变频式冷气机、呼叫器、传真机、来电辨识器(CallerID)、电话录音机、CRT显示器、键盘及 USB等;16位MCU大部份应用在行动电话、数字相机及摄录放影机等;32位MCU大部份应用在Modem、GPS、PDA、HPC、STB、Hub、 Bridge、Router、工作站、ISDN电话、激光打印机与彩色传真机;64位MCU大部份应用在高阶工作站、多媒体互动系统、高级电视游乐器(如 SEGA的Dreamcast及Nintendo的GameBoy)及高级终端机等)、RISC(RISC是什么含义?它有什么特点?简称为精简指令系统计算机(简称RISC),起源于80年代的MIPS主机(即RISC机),RISC机中采用的微处理器统称RISC处理器。 RISC典型范例如:MIPS R3000、HP—PA8000系列,Motorola M88000等均属于RISC微处理器。 RISC主要特点: RISC微处理器不仅精简了指令系统,采用超标量和朝流水线结构;它们的指令数目只有几十条,却大大增强了并行处理能力。如:1987年Sun Microsystem公司推出的SPARC芯片就是一种超标量结构的RISC处理器。而SGI公司推出的MIPS处理器则采用超流水线结构,这些 RISC处理器在构建并行精简指令系统多处理机中起着核心的作用。RISC处理器是当今UNIX领域64位多处理机的主流芯片

性能特点一:由于指令集简化后,流水线以及常用指令均可用硬件执行;性能特点二:采用大量的寄存器,使大部分指令操作都在寄存器之间进行,提高了处理速度;性能特点三:采用缓存—主机—外存三级存储结构,使取数与存数指令分开执行,使处理器可以完成尽可能多的工作,且不因从存储器存取信息而放慢处理速度。应用特点;由于 RISC处理器指令简单、采用硬布线控制逻辑、处理能力强、速度快,世界上绝大部分UNIX工作站和服务器厂商均采用RISC芯片作CPU用。如原DEC 的Alpha21364、IBM的Power PC G4、HP的PA—8900、SGI 的R12000A和SUN Microsystem公司的Ultra SPARC ║。运行特点: RISC芯片的工作频率一般在400MHZ数量级。时钟频率低,功率消耗少,温升也少,机器不易发生故障和老化,提高了系统的可靠性。单一指令周期容纳多部并行操作。在RISC微处理器发展过程中。曾产生了超长指令字(VLIW)微处理器,它使用非常长的指令组合,把许多条指令连在一起,以能并行执行。 VLIW处理器的基本模型是标量代码的执行模型,使每个机器周期内有多个操作。有些RISC处理器中也采用少数VLIW指令来提高处理速度。)、

CISC(CISC(复杂指令集计算机)和RISC(精简指令集计算机)是前CPU 的两种架构。它们的区别在于不同的CPU设计理念和方法。早期的CPU全部是CISC架构,它的设计目的是要用最少的机器语言指令来完成所需的计算任务。

RISC 则是计算机系统只有少数指令,但是每个指令的执行时间相当短,因此CPU

可以用相当高的频率来运算。)、DSP(DSP是单片机的一个分支。它有专门的 FFT 算法需要的特殊指令,流水线指令处理。能以较高的速度进行运算。我们可以根据需要选用他。如果你作一个遥控器,选用他就没优势了。因为很多其他的用于遥控的单片机比他更适合用来作遥控器。如果你用89C51来作语音或图像识别就不如DSP了。一个产品的设计要考虑,在满足需求的情况下,他的性价比。 2,单片机长于控制场合应用,DSP长于信号分析运算,本身针对了不同的需求,应该不存在互相替代的问题。不过目前这两者特点互相融合的趋势倒是越来越明显。3,如果你还没进入开发领域,把单片机的硬件摸透了对学DSP帮助很大,如果你还没学单片机把起点架在DSP上也没问题,以我的心得单片机你迟早要遇到,不如先学好他,对单片机能解决的问题,DSP的开发成本大得多,不过你将来要是遇到复杂的数字信号处理(如IIR,FIR,FFT)等,就用得上他了,它的速度和实时处理能力单片机是望尘莫及的。还有一篇文章讲这个的: DSP器件与单片机的比较在过去的几十年里,单片机的广泛应用实现了简单的智能控制功能。随着信息化的进程和计算机科学与技术、信号处理理论与方法等的迅速发展,需要处理的数据量越来越大,对实时性和精度的要求越来越高,在某些领域,低档单片机已不再能满足要求。近年来,各种集成化的单片DSP的性能得到很大改善,软件和开发工具也越来越多,越来越好;价格却大幅度下滑,从而使得DSP器件及技术更容易使用,价格也能够为广大用户接受;越来越多的单片机用户开始考虑选用DSP器件来提高产品性能,DSP器件取代高档单片机的可能性越来越大。本文将从性能、价格等方面对单片机和DSP器件进行比较,在此基础上,以TI的MS320C2XX系列DSP器件为例,探讨DSP器件取代高档单片机的可行性。

1.单片机的特点所谓单片机就是在一块芯片上集成了CPU、 RAM、ROM(EPROM或EEPROM)、时钟、定时/计数器、多种功能的串行和并行I/O口。如Intel公司的8031系列等。除了以上基本功能外,有的还集成有A/D、D/A,如Intel公司的8098系列。概括起来说,单片机具有如下特点:具有位处理能力,强调控制和事务处理功能。价格低廉。如低档单片机价格只有人民币几元钱。开发环境完备,开发工具齐全,应用资料众多。后备人才充足。国内大多数高校都开设了单片机课程和单片机实验。

2.DSP 器件的特点与单片机相比,DSP器件具有较高的集成度。DSP具有更快的CPU,更大容量的存储器,内置有波特率发生器和FIFO缓冲器。提供高速、同步串口和标准异步串口。有的片内集成了A/D和采样/保持电路,可提供PWM输出。DSP器件采用改进的哈佛结构,具有独立的程序和数据空间,允许同时存取程序和数据。内置高速的硬件乘法器,增强的多级流水线,使DSP器件具有高速的数据运算能力。DSP器件比16位单片机单指令执行时间快8~10倍,完成一次乘加运算快16~30倍。DSP器件还提供了高度专业化的指令集,提高了FFT快速傅里叶变换和滤波器的运算速度。此外,DSP器件提供JTAG接口,具有更先进的开发手段,批量生产测试更方便,开发工具可实现全空间透明仿真,不占用用户任何资源。软件配有汇编/链接C编译器、C源码调试器。目前国内推广应用最为广泛的DSP器件是美国德州仪器(TI)公司生产的TMS320系列。DSP开发系统的国产化工作已经完成,国产开发系统的价格至少比进口价格低一半,有的如TMS320C2XX开发系统只有进口开发系统价格的1/5,这大大刺激了DSP器件的应用。目前,已有不少高校计划建立DSP实验室,TI公司和北京闻亭公司都已制订了高校支持计划,将带动国内DSP器件的应用和推广(哈尔滨工程大学就是其中的一所,他们的实力非常强大)

3.DSP器件大规模推广指日可待?通过

上述比较,我们可得出结论:DSP器件是一种具有高速运算能力的单片机。从应用角度看:DSP器件是运算密集型的,而单片机是事务密集型的,DSP器件可以取代单片机,单片机却不能取代DSP。DSP器件价格大幅度下滑,直逼单片机?DSP器件广泛使用了JTAG 硬件仿真,比单片机更易于硬件调试。国产化的DSP 开发系统为更多用户采用DSP器件提供了可能性。DSP取代单片机的技术和价格的市场条件已经成熟?大规模推广指日可待?(现在吹牛的人真是一点草稿都不打。不过DSP确实功能够强大。)结论:使用单片机的不一定了解DSP,并且非要用DSP不可;但使用 DSP的一定了解单片机,并且能做出性价比高的产品。)、ASIC、FPGA(ASIC(Application Specific Intergrated Circuits)即专用集成电路,是指应特定用户要求和特定电子系统的需要而设计、制造的集成电路。目前用CPLD(复杂可编程逻辑器件)和 FPGA(现场可编程逻辑阵列)来进行ASIC 设计是最为流行的方式之一,它们的共性是都具有用户现场可编程特性,都支持边界扫描技术,但两者在集成度、速度以及编程方式上具有各自的特点。ASIC 的特点是面向特定用户的需求,品种多、批量少,要求设计和生产周期短,它作为集成电路技术与特定用户的整机或系统技术紧密结合的产物,与通用集成电路相比具有体积更小、重量更轻、功耗更低、可靠性提高、性能提高、保密性增强、成本降低等优点。

FPGA(现场可编程门阵列)是专用集成电路(ASIC)中集成度最高的一种,用户可对FPGA内部的逻辑模块和I/O模块重新配置,以实现用户的逻辑,因而也被用于对CPU的模拟。用户对FPGA的编程数据放在Flash芯片中,通过上电加载到FPGA中,对其进行初始化。也可在线对其编程,实现系统在线重构,这一特性可以构建一个根据计算任务不同而实时定制的CPU,这是当今研究的热门领域。

电子封装是集成电路芯片生产完成后不可缺少的

一道工序,是器件到系统的桥梁。所以FPGA是封装结构的是正确的!!

)等的概念)。(仕兰微面试题目)

2、FPGA和ASIC的概念,他们的区别。(未知)

答案:FPGA是可编程ASIC。

ASIC: 专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点

3、什么叫做OTP片(OTP(一次性可编程))、掩膜片,两者的区别何在?(仕兰微面试题目)

OTP 与掩膜 OTP是一次性写入的单片机。过去认为一个单片机产品的成熟是以投产掩膜型单片机为标志的。由于掩膜需要一定的生产周期,而OTP型单片机价格不断下降,使得近年来直接使用OTP完成最终产品制造更为流行。它较之掩膜具有生产周期短、风险小的特点。近年来,OTP型单片机需量大幅度上扬,为适应这种需求许多单片机都采用了在片编程技术(In System Programming)。未编程的OTP芯片可采用裸片Bonding技术或表面贴技术,先焊在印刷板上,然后通过单片机上引出的编程线、串行数据、时钟线等对单片机编程。解决了批量写OTP 芯片时容易出现的芯片与写入器接触不好的问题。使OTP的裸片得以广泛使用,降低了产品的成本。编程线与I/O线共用,不增加单片机的额外引脚。

而一些生产厂商推出的单片机不再有掩膜型,全部为有ISP功能的OTP。

4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目)

5、描述你对集成电路设计流程的认识。(一般来说asic和fpga/cpld没有关系!fpga是我们在小批量或者实验中采用的,生活中的电子器件上很少见到的。而asic是通过掩膜的高的,它是不可被修改的。至于流程,应该是前端、综合、仿真、后端、检查、加工、测试、封装。

我是做路由器asic设计的可能你上网用的网卡还有路由器就是我们公司的,呵呵,流程基本如此!)(仕兰微面试题目)

6、简述FPGA等可编程逻辑器件设计流程。

通常可将FPGA/CPLD设计流程归纳为以下7个步骤,这与ASIC设计有相似之处。

1.设计输入。在传统设计中,设计人员是应用传统的原理图输入方法来开始设计的。自90年代初, Verilog、VHDL、AHDL等硬件描述语言的输入方法在大规模设计中得到了广泛应用。

2.前仿真(功能仿真)。设计的电路必须在布局布线前验证电路功能是否有效。(ASCI设计中,这一步骤称为第一次Sign-off)PLD设计中,有时跳过这一步。

3.设计编译。设计输入之后就有一个从高层次系统行为设计向门级逻辑电路设转化翻译过程,即把设计输入的某种或某几种数据格式(网表)转化为软件可识别的某种数据格式(网表)。

4.优化。对于上述综合生成的网表,根据布尔方程功能等效的原则,用更小更快的综合结果代替一些复杂的单元,并与指定的库映射生成新的网表,这是减小电路规模的一条必由之路。

5.布局布线。在PLD设计中,3-5步可以用PLD厂家提供的开发软件(如Maxplus2)自动一次完成。

6.后仿真(时序仿真)需要利用在布局布线中获得的精确参数再次验证电路的时序。(ASCI设计中,这一步骤称为第二次Sign—off)。

7.生产。布线和后仿真完成之后,就可以开始ASCI或PLD芯片的投产

)(仕兰微面试题目)

7、IC设计前端到后端的流程和eda工具。

(ic 卡是集成电路卡的意思,ic卡是一种内藏大规模集成电路的塑料卡片,其大小和原来的磁卡电话的磁卡大小相同。 ic卡通常可分为存储卡、加密卡和智能卡三类,存储卡是可以直接对其进行读、写操作的存储器,加密卡是在存储卡的基础上增加了读、写加密功能,对加密卡进行操作时,必须首先核对卡中的密码,密码正确才能进行正常操作,智能卡是带有微处理器(cpu),同时也称作cpu卡。 ic卡的设计的流程分为:逻辑设计--子功能分解--详细时序框图--分块逻辑仿真--电路设计(RTL级描述)--功能仿真--综合(加时序约束和设计库)--电路网表--网表仿真)-预布局布线(SDF文件)--网表仿真(带延时文件)--静态

时序分析--布局布线--参数提取--SDF文件--后仿真--静态时序分析--测试向量生成--工艺设计与生产--芯片测试--芯片应用,在验证过程中出现的时序收敛,功耗,面积问题,应返回前端的代码输入进行重新修改,再仿真,再综合,再验证,一般都要反复好几次才能最后送去foundry厂流片。)(未知)

9、Asic的design flow(设计流程)。(威盛VIA 2003.11.06 上海笔试试题)()

11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试)

先介绍下IC开发流程:

1.)代码输入(design input)

用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码

语言输入工具:SUMMIT VISUALHDL

MENTOR RENIOR

图形输入: composer(cadence);

viewlogic (viewdraw)

2.)电路仿真(circuit simulation)

将vhd代码进行先前逻辑仿真,验证功能描述是否正确

数字电路仿真工具:

Verolog: CADENCE Verolig-XL

SYNOPSYS VCS

MENTOR Modle-sim

VHDL : CADENCE NC-vhdl

SYNOPSYS VSS

MENTOR Modle-sim

模拟电路仿真工具:

***ANTI HSpice pspice,spectre micro

microwave: eesoft : hp

3.)逻辑综合(synthesis tools)

逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再仿真。最终仿真结果生成的网表称为物理网表。

12、请简述一下设计后端的整个流程?(仕兰微面试题目)

13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元

素?(仕兰微面试题目)Protel Protel99是基于Win95/Win NT/Win98/Win2000的纯32位电路设计制版系统。Protel99提供了一个集成的设计环境,包括了原理图设计和PCB布线工具,集成的设计文档管理,支持通过网络进行工作组协同设计功能。

14、描述你对集成电路工艺的认识。(仕兰微面试题目)集成电路是采用半导体制作工艺,在一块较小的单晶硅片上制作上许多晶体管及电阻器、电容器等元器件,并按照多层布线或遂道布线的方法将元器件组合成完整的电子电路。它在电路中用字母“IC”(也有用文字符号“N”等)表示。

(一)按功能结构分类集成电路按其功能、结构的不同,可以分为模拟集成电路和数字集成电路两大类。

模拟集成电路用来产生、放大和处理各种模拟信号(指幅度随时间边疆变化的信号。例如半导体收音机的音频信号、录放机的磁带信号等),而数字集成电路用来产生、放大和处理各种数字信号(指在时间上和幅度上离散取值的信号。例如VCD、DVD重放的音频信号和视频信号)。

(二)按制作工艺分类

集成电路按制作工艺可分为半导体集成电路和薄膜集成电路。膜集成电路又分类厚膜集成电路和薄膜集成电路。

(三)按集成度高低分类

集成电路按集成度高低的不同可分为小规模集成电路、中规模集成电路、大规模集成电路和超大规模集成电路。(四)按导电类型不同分类

集成电路按导电类型可分为双极型集成电路和单极型集成电路。

双极型集成电路的制作工艺复杂,功耗较大,代表集成电路有TTL、ECL、HTL、LST-TL、STTL等类型。单极型集成电路的制作工艺简单,功耗也较低,易于制成大规模集成电路,代表集成电路有CMOS、NMOS、PMOS等类型。

(五)按用途分类

集成电路按用途可分为电视机用集成电路。音响用集成电路、影碟机用集成电路、录像机用集成电路、电脑(微机)用集成电路、电子琴用集成电路、通信用集成电路、照相机用集成电路、遥控集成电路、语言集成电路、报警器用集成电路及各种专用集成电路。

电视机用集成电路包括行、场扫描集成电路、中放集成电路、伴音集成电路、彩色解码集成电路、AV/TV转换集成电路、开关电源集成电路、遥控集成电路、丽音解码集成电路、画中画处理集成电路、微处理器(CPU)集成电路、存储器集成电路等。

音响用集成电路包括AM/FM高中频电路、立体声解码电路、音频前置放大电路、音频运算放大集成电路、音频功率放大集成电路、环绕声处理集成电路、电平驱动集成电路、电子音量控制集成电路、延时混响集成电路、电子开关集成电路等。影碟机用集成电路有系统控制集成电路、视频编码集成电路、MPEG解码集成电路、音频信号处理集成电路、音响效果集成电路、RF信号处理集成电路、数字信号处理集成电路、伺服集成电路、电动机驱动集成电路等。

录像机用集成电路有系统控制集成电路、伺服集成电路、驱动集成电路、音频处理集成电路、视频处理集成电路。

15、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?(仕兰微面试题

目)制造工艺:我们经常说的0.18微米、0.13微米制程,就是指制造工艺了。制造工艺直接关系到cpu的电气性能。而0.18微米、0.13微米这个尺度就是指的是cpu核心中线路的宽度。线宽越小,cpu的功耗和发热量就越低,并可以工作在更高的频率上了。所以以前0.18微米的cpu最高的频率比较低,用0.13微米制造工艺的cpu会比0.18微米的制造工艺的发热量低都是这个道理

了。 cd

16、请描述一下国内的工艺现状。(仕兰微面试题目)

17、半导体工艺中,掺杂有哪几种方式?(仕兰微面试题目)

根据掺入的杂质不同,杂质半导体可以分为N型和P型两大类。 N型半导体中掺入的杂质为磷等五价元素,磷原子在取代原晶体结构中的原子并构成共价键时,多余的第五个价电子很容易摆脱磷原子核的束缚而成为自由电子,于是半导体中的自由电子数目大量增加,自由电子成为多数载流子,空穴则成为少数载流子。P型半导体中掺入的杂质为硼或其他三价元素,硼原子在取代原晶体结构中的原子并构成共价键时,将因缺少一个价电子而形成一个空穴,于是半导体中的空穴数目大量增加,空穴成为多数载流子,而自由电子则成为少数载流子。

18、描述CMOS电路中闩锁效应产生的过程及最后的结果?

Latch-up 闩锁效应,又称寄生PNPN效应或可控硅整流器( SCR, Silicon Controlled Rectifier )效应。在整体硅的CMOS管下,不同极性搀杂的区域间都会构成P-N结,而两个靠近的反方向的P-N结就构成了一个双极型的晶体三极

管。因此CMOS管的下面会构成多个三极管,这些三极管自身就可能构成一个电路。这就是MOS管的寄生三极管效应。如果电路偶尔中出现了能够使三极管开通的条件,这个寄生的电路就会极大的影响正常电路的运作,会使原本的MOS电路承受比正常工作大得多的电流,可能使电路迅速的烧毁。Latch-up状态下器件在电源与地之间形成短路,造成大电流、EOS(电过载)和器件损坏。(仕兰微面试题目)

19、解释latch-up现象和Antenna effect和其预防措施.(未知)

20、什么叫Latchup? 闩锁效应,又称寄生PNPN效应或可控硅整流器( SCR, Silicon Controlled Rectifier )效应。(科广试题)

21、什么叫窄沟效应? 当JFET或MESFET沟道较短,<1um的情况下,这样的器件沟道内电场很高,载流子民饱合速度通过沟道,因而器件的工作速度得以提高,载流子漂移速度,通常用分段来描述,认为电场小于某一临界电场时,漂移速度与近似与电场强成正比,迁移率是常数,当电场高于临界时,速度饱和是常数。所以在短沟道中,速度是饱和的,漏极电流方程也发生了变化,,这种由有况下饱和电流不是由于沟道夹断引起的而是由于速度饱和,,别名(科广试题)

22、什么是NMOS、PMOS、CMOS?什么是增强型、耗尽型?什么是PNP、NPN?他们有什么差别?(仕兰微面试题目)

23、硅栅COMS工艺中N阱中做的是P管还是N管,N阱的阱电位的连接有什么要求?(仕兰微面试题目)

24、画出CMOS晶体管的CROSS-OVER图(应该是纵剖面图),给出所有可能的传输特性和转移特性。(Infineon笔试试题)

25、以interver为例,写出N阱CMOS的process流程,并画出剖面图。(科广试题)

26、Please explain how we describe the resistance in semiconductor. Compare

the resistance of a metal,poly and diffusion in tranditional CMOS process.(威盛笔试题circuit design-beijing-03.11.09)

27、说明mos一半工作在什么区。(凹凸的题目和面试)

28、画p-bulk 的nmos截面图。(凹凸的题目和面试)

29、写schematic note(?),越多越好。(凹凸的题目和面试)

30、寄生效应在ic设计中怎样加以克服和利用。(未知)

31、太底层的MOS管物理特性感觉一般不大会作为笔试面试题,因为全是微电子物理,公式推导太罗索,除非面试出题的是个老学究。IC设计的话需要熟悉的软件: Cadence,

Synopsys, Avant,UNIX当然也要大概会操作。

32、unix 命令cp -r, rm,uname。(扬智电子笔试)

_____________________________________________________________________ ______

单片机、MCU、计算机原理

1、简单描述一个单片机系统的主要组成模块,并说明各模块之间的数据流流向和控制流

流向。简述单片机应用系统的设计原则。(仕兰微面试题目)

2、画出8031与2716(2K*8ROM)的连线图,要求采用三-八译码器,8031的

P2.5,P2.4和

P2.3参加译码,基本地址范围为3000H-3FFFH。该2716有没有重叠地址?根据是什么?若

有,则写出每片2716的重叠地址范围。(仕兰微面试题目)

3、用8051设计一个带一个8*16键盘加驱动八个数码管(共阳)的原理图。(仕兰微面试题目)

4、PCI总线的含义是什么?PCI总线的主要特点是什么?(仕兰微面试题目)

5、中断的概念?简述中断的过程。(仕兰微面试题目)

6、如单片机中断几个/类型,编中断程序注意什么问题;(未知)

7、要用一个开环脉冲调速系统来控制直流电动机的转速,程序由8051完成。简单原理如下:由P3.4输出脉冲的占空比来控制转速,占空比越大,转速越快;而占空比由K7-K0八个开关来设置,直接与P1口相连(开关拨到下方时为"0",拨到上方时为"1",组成一个八位二进制数N),要求占空比为 N/256。(仕兰微面试题目)

下面程序用计数法来实现这一功能,请将空余部分添完整。

MOV P1,#0FFH

LOOP1 :MOV R4,#0FFH

--------

MOV R3,#00H

LOOP2 :MOV A,P1

--------

SUBB A,R3

JNZ SKP1

--------

SKP1:MOV C,70H

MOV P3.4,C

ACALL DELAY :此延时子程序略

--------

--------

AJMP LOOP1

8、单片机上电后没有运转,首先要检查什么?(东信笔试题)

9、What is PC Chipset? (扬智电子笔试)

芯片组(Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,通常分为北桥芯片和南桥芯片。北桥芯片提供对CPU的类型和主频、内存的类型和最大容量、

ISA/PCI /AGP插槽、ECC纠错等支持。南桥芯片则提供对KBC(键盘控制器)、RTC(实时时钟控制器)、USB(通用串行总线)、Ultra DMA/33(66)EIDE数据传输方式和ACPI(高级能源管理)等的支持。其中北桥芯片起着主导性的作用,也称为主桥(Host Bridge)。

除了最通用的南北桥结构外,目前芯片组正向更高级的加速集线架构发展,Intel的8xx系列芯片组就是这类芯片组的代表,它将一些子系统如IDE接口、音效、MODEM和USB直

接接入主芯片,能够提供比PCI总线宽一倍的带宽,达到了266MB/s。

会计面试笔试题答案

会计面试笔试题答案 一、填空题 1.、资产负债表中反映的三个会计要素是:资产、负债、所有者权益。 2、更正错帐的方法有:补充登记法、划线更正法、红字冲销法。 3、现金折扣的情况下,应收帐款入帐金额确认有总价法、净价法两种处理方法。 4、固定资产加速折旧主要方法双倍余额递减法、年数总和法。 5、可做增值税抵扣凭证的有专用发票抵扣联、关税完税凭证、交通运输发票、农产品等收购凭证。 6、企业增加资本的途径投资者投入、资本公积转增资本、盈余公积转增资本。 二、会计分录实务题 1、某公司销售产品一批,价款50000元,增值部8500元,款项已存入银行 借:银行存款 58500 贷:主营业务收入 50000 应缴税费-应交增值税(销项税额)8500 2、购买原材料,支付现款5000元,支付进项税850元 借:原材料 5000 应缴税费-应交增值税(进项税额) 850 贷:库存现金 58500 3、以银行存款偿还原欠外单位货款7800元 借:应付账款 7800 贷:银行存款 7800 4、业务员借备用金2000元 借:其他应收款 2000 贷:库存现金 2000 5、业务员交水电费1500元,余款归还 借:管理费用 1500 库存现金 500 贷:其他应收款 2000

6、年末支付下年度房屋保险费6000元,下年度每月摊销500元 (1)借:预付账款6000 (2)借:管理费用500 贷:库存现金6000 贷:预付账款500 7、报废设备一台,原值20000元,累计折旧16000元,残值收入3000元 (1)借:固定资产清理4000 (2)借:库存现金3000 累计折旧16000 营业外支出1000 贷:固定资产20000 贷:固定资产清理4000 8、收到银行利息收入500元 借:银行存款500 贷:财务费用500 9、计提城建税300元,教育费及附加100元,房产税600元 借:主营业务税金及附加1000 贷:应缴税费—应交城建税300 —教育费及附加100 —房产税600 10、分期收款发出商品一批,售价50000元,增值税税率17%,成本40000元,本月已销售40000元,款项未收。 (1)借:发出商品40000 (2)借:应收账款46800 贷:主库存商品40000 贷:主营业务收入40000 应缴税费-应交增值税(销项税额)6800 (3)借:主营业务成本32000 贷:发出商品3200 三、问答题 1、谈谈你对会计工作内容的认识以及该如何做好会计工作。 2、你如何看待超时工作,周末和休息日加班?

普通工人面试笔试题目

普通员工入职测试题 姓名:学历:日期:得分: 一、填空题。(每题1分,共25分) 1、写出拼音对应的词:口 qiang( ),nu()力, gong()喜,雾 mai( )。 2、26个英文字母分别是:A B C J K L M X Y Z。 3、补充诗句中的空白部分:,汗滴禾下土,,。 4、我国古代四大发明是指:()、()、()、()。 5、1吨 =()千克 =( )斤。 6、我国历史上的第一位女皇帝是()。 7、英译汉:today( )、one( )、name( )、PASS()、City( )。 8、我国一共有()个直辖市,安徽省的省会是()。 9、我国最南方的省是(),最大的淡水湖是()。 二、单项选择题(共30分) 1、选出不同类的一项()。A、蛇 B、大树 C、老虎 D、狮子。 2、广东省的省会是()。 A、广州 B、深圳 C、东莞 D、中山 3、正常人的体温是()。 A、35-36度 B、36-37度 C、37-38度 D,38-40度 4、在下列分数中,选出不同的一项()。A、2/5 B、3/5 C、3/7 D、3/9 5、我国的南、北方划分以什么为界()。A、黄河 B、长江 C、秦岭-淮河 D、湖北省。 6、选出不同类的一项()。A、地板 B、壁橱 C、窗户 D、窗帘 7、一般情况下,成年人每天的睡眠应为()。 A、6小时以下 B、6-8小时 C、8-10小时 D、10小时以上 8、人体能承受的安全电压为()。A、12V B、24V C、36V D、220V 9、找出不同类的一项()。A、写字台 B、沙发 C、电视 D、桌布 10、选择A、B、C、D中哪一个应该填在“X0000XX000XXX”后面()。 A、X00 B、000 C、00X D、0XX

人事助理(hr)笔试题及答案-面试笔试

人事助理(hr )笔试题及答案_面试笔试 1、请列出人事助理的岗位职责和考核指标。 2、请列举招聘中常见的几种误区以及如何规避。 3、请说明如何对应聘人员进行综合素质的测评。 4、请简单编写it 企业《员工电脑管理制度》,包含:笔记本电脑——外借外带被抢遗失等情况。 大家讨论下:) 忘了把前程无忧上的相关职责放上来了,其实是人事专员/主管的职位了,头衔写的是助理而已@ 任职要求: 1、计算机或人力资源相关专业大专以上学历,至少三年以上人事工作经验; 3、熟悉国家和广东省的人事方面的法律、法规、章程、方针、政策; 4、熟悉招聘(重点)、绩效考核、薪酬福利、员工激励、人才引进等事务性工作; 5、熟练使用word 、excel 等办公软件和相关办公设备,对人事测评有比较深入了解; 6、有一定英文基础,具有良好的人际沟通及语言、文字表达能力;能独挡一面。 7、勤勉敬业,责任心强,做事有条理,具有团队精神和合作意识; 8、男女不限。 回头把自己的答案贴上来大家给予指导哦??:)一-其实我主要是做行政的,人事的 实操经验不是很多,呵呵把自己的答案贴上来??大家多指教 笔试题 1、请列出人事助理的岗位职责和考核指标。答:岗位职责: 1、招聘工作:执行招聘管理制度流程,参与招聘需求调查分析、招聘渠道选择、招聘活动策划与执行、人员甄选,确保及时完成人员补充计划目标; 2、培训工作:推进落实培训计划和体系,建立各部门的内训员队伍及培训手册; 3、薪酬管理:结合公司发展实际情况制定薪资体系,每月薪资核算、个税申报及奖惩管理,并及时有效的进行调整员工档案管理、提供人力资源成本分析报表; 4、绩效工作:推行并完善绩效考核体系,协助各部门作好绩效考核执行工作; 5、协调员工关系,处理员工投诉,及时处理公司管理过程中的人力行政问题; 6、管理员工信息档案工作,完善公司各项人事数据资料; 7、完成公司人力资源流程性工作:入职、转正、合同、离职等手续办理; 8、协助完成职位描述和职位说明书的撰写; 9、解答所负责区域员工社保、公积金等疑问,并办理社会保险、公积金等相关事宜; 10、上级领导交办的其他事项。 考核指标: (1)目标管理法(2)关键绩效指标(3)平衡计分法(4)360 度考核法人力资源部主管绩效考核指标量表 被考核人姓名职位人事主管部门人力资源部考核人姓名职位总经理部门序号kpi 指标权重绩效目标值考核得分 1 人力资源工作计划按时完成率15% 考核期内人力资源工作计划按时完成率达100% 2 人力资源成本预算控制率15% 考核期内人力资源成本预算控制率在 _________ % 以下

企业面试笔试题及答案

企业面试笔试题及答案 1.住宅冷负荷指标:________,热负荷指标:________,噪音标准:________? 2.商场冷负荷指标:________,热负荷指标:________,新风量标准:________;噪音标准:________? 3.一般空调冷冻水供/回水温度为:______,空调采暖热水供/回水温度为:____? 4.一般空调、通风系统中主风管风速:________,支管风速: ________? 5. 防烟分区的最大面积:________。高规中关于排烟风机的风量的规定为:担负一个防烟分区应按每平方米面积不小于________计算(但单台风机最小排烟量不应小于________);担负两个或两个以上防烟分区排烟时,应按最大防烟分区面积每平方米不小于________计算? 6.机械加压送风机的`全压,除计算最不利环管道压头损失外,尚应有余压。防烟楼梯间余压值为:________;前室、合用前室、消防电梯间前室、封闭避难层(间)余压值为:________?

7.在空调系统末端选择方面,大空间建议采用:____________空 调系统,小空间建议采用___________空调系统? 8.请按管径大小,简述空调水系统常用的管材种类及连接方式? 9.请简述空调水系统及风系统常用的保温材料种类及其适用范围? 10.请简述在通风、空调系统中,哪些位置须安装防火阀? 11.请简述暖通空调系统中的设备、管道可采取哪些消声降噪措施? 12.请简述风机盘管的选型及安装要点? 13.请简述风冷热泵、VRV系统室外机、分体空调室外机等设备的 安装要点。上述设备在寒冷季节均会有制热量的衰减,可采取哪些 措施进行补偿? 14.请简述空调水系统可采取哪些水利平衡措施,在系统的调试过程中,可采取哪些简单方法确定水系统管路中的水量已达到设计要求?

面试笔试题带答案

1:当你在嘈杂环境中忽然接到面试电话,你会? A:无所谓,留在原地,继续接听 B:立刻找一个比较安静的地方 C:附近没有安静的地方,请对方留下联系方式,然后迅速打过去 D:附近没有安静的地方,请对方留下联系方式,第二天再打标准选项:B,此题考察的是情商,会表现跟人的沟通、交际能力。 2:对方要求你于某日某时到该公司面试,但并未告诉你该公司详细地址,你会? A:在电话中向对方询问公司的具体地址 B:若对方态度和善,不但会问具体地址,还会询问行车路线 C:挂断电话后才想起来,没办法,按照刚才的号码再打回去询问吧 D:挂断电话后才想起来,没关系,我上网查查去,如有好几个地址,那再打电话询问 标准选项:D,此题考察是求职者的专业素质,开拓精神,以及主观能动性。 3:面试前,你是否会特地整理一下个人形象和卫生?

A:不会,我对自己的形象很有信心,恩,明天打算穿那套看起来很青春的牛仔装 B:不会,我相信面试官会更看重我衣衫滥屡的外表下,那出色的才能 C:会,洗洗头发洗洗澡,以干净、清爽、利落的形象出现在面试官面前 D:说不定,看心情还有要去面试的公司,知名外企我会适当修饰以下,小公司就算了 E:会,我会洗洗头发洗洗澡,再洒点香水,画个妆,这让我更有信心 标准选项:C。此题考察的是求职者对自己整体形象的包装,通过包装可以了解一个人 的性格。 4:当你历尽千辛万苦终于赶到公司之后,你发现你比预定时间早到了半个小时,这时你会 A:直接进公司找前台,平静地联系面试人员 B:先在公司附近晃一晃,熟悉一下环境,整理好心情,顺带想想可能遇见的面试问题, 提前5-10分钟再进去 C:先观察一下公司附近的环境,食堂远不远,购物方不方便,周

面试笔试题(带答案)

面试笔试题(带答案) https://www.wendangku.net/doc/7213034869.html,work Information Technology Company.2020YEAR

1:当你在嘈杂环境中忽然接到面试电话,你会? A:无所谓,留在原地,继续接听 B:立刻找一个比较安静的地方 C:附近没有安静的地方,请对方留下联系方式,然后迅速打过去 D:附近没有安静的地方,请对方留下联系方式,第二天再打 标准选项:B,此题考察的是情商,会表现跟人的沟通、交际能力。 2:对方要求你于某日某时到该公司面试,但并未告诉你该公司详细地址,你会? A:在电话中向对方询问公司的具体地址 B:若对方态度和善,不但会问具体地址,还会询问行车路线 C:挂断电话后才想起来,没办法,按照刚才的号码再打回去询问吧 D:挂断电话后才想起来,没关系,我上网查查去,如有好几个地址,那再打电话询问 标准选项:D,此题考察是求职者的专业素质,开拓精神,以及主观能动性。3:面试前,你是否会特地整理一下个人形象和卫生? A:不会,我对自己的形象很有信心,恩,明天打算穿那套看起来很青春的牛仔装 B:不会,我相信面试官会更看重我衣衫滥屡的外表下,那出色的才能 C:会,洗洗头发洗洗澡,以干净、清爽、利落的形象出现在面试官面前 D:说不定,看心情还有要去面试的公司,知名外企我会适当修饰以下,小公司就算了 E:会,我会洗洗头发洗洗澡,再洒点香水,画个妆,这让我更有信心 标准选项:C。此题考察的是求职者对自己整体形象的包装,通过包装可以了解一个人 的性格。 4:当你历尽千辛万苦终于赶到公司之后,你发现你比预定时间早到了半个小时,这时你会 A:直接进公司找前台,平静地联系面试人员

公司招聘笔试题目精选面试人员笔试性格测试题

面试人员笔试测试题 请将①②③④中,您认识符合你的一项或多项填写在答题卡中!(请在15分钟内完成,以第一印象为主,不要用多加思考)

1 ③生 ④适应力强—轻松自如适应任何环境 2 ②坚持不懈—要完成一事才接着做新事 ③喜好娱乐—开心,充满乐趣与幽默 ④平 3 ②意志坚定—决心依自己的方式做事的人 ④顺 4、①自控性—控制自己的情绪,极少流露 ②竞争 ④体贴—关心别人的感觉与需要 5、①含蓄—自我约束情绪与热忱 ②使人振作—给他人清新振奋的刺激 ④受尊重—对人诚实尊重 6、①敏感—对周围的人事过分关心 ②自 ③生机勃勃—充满生命力与兴奋 ④满足—容易接受 7、①计划 ②积极—想信自己有转危为安的能力 ③推动 ④耐性—不因延误而懊恼,能容忍 8 ②肯定—自信极少犹豫或动摇 ④羞涩—安静、不善于交谈的人 9、①井井有条—有系统有条理安排事情的人 ②坦率—毫无保留,坦率发言

18、①制图 ②首领—要求领导地位及别人跟随 ④知 19 ②勤 ③受欢 ④和 20、①规范 ②无 ③跳跃型—充满活力和生气的性格 ④平衡—稳定,中间路线 21、①乏味—面上极少流露表情或情绪 ②专横—喜命令支配,有时略傲慢 ③露 ④忸怩—躲避别人的注意力 22、①不宽 ③散漫—生活任性无秩序 23、①怨 ②逆 ③唠 ④保 24、①挑 ②率 ③健 ④胆 25、①无安全感—感到担心且无自信心 ②急躁—难以忍受等待别人 ③好插 ④优柔寡断—很难下定决心 26 ②不合

35、①情绪 ②喜操 36、①怀 ②顽 ③好表 ④缓 37、①懒 ②统治 ③大嗓 ④孤

答题卡请将您的选择填入答题卡中,并分别计算出您所选择的①②③④的个数。

面试练习题以及答案

面试习题以及答案 面试问题1:钓过螃蟹的人或许知道,篓子中放了一群螃蟹,不必盖上盖子,螃蟹是爬不出来的。因为只要有一只想往上爬,其他螃蟹纷纷攀附在他身上,结果是把它拉下来,最后没有一只出的去。对此现象,结合实际谈谈你的想法。 我的回答: 各位考官,大家好!现在我开始答题。 在螃蟹这个群体中,每一个个体都有自己的目标那就是从篓子中爬出来,然而,它们为了自己的目标相互竞争,使群体的共同目标在它们无序的也可以说是不正当的竞争下走向失败。题目中的螃蟹的例子就是生活中的一个剪影,例如《特坦尼克号》这部电视剧在沉船的过程中很多人因为争着跳上救生艇而掉入海中,在这种慌乱中,船长镇定的面庞跟整个画面形成了鲜明的对比,正是船长的组织与安排才使多数人获救。而在在现实中有很多踩踏事件发生给我们留下了悲痛的教训。 出现螃蟹现象的原则有以下几个方面: 1、组织中的没有建立健康的竞争机制,导致每个个体只为了个人的目标而无序地竞争, 即伤害自己也伤害别人,甚至也破坏了组织的目标。 2、组织中的成员之间缺少沟通与合作,导致1+1<2的情况的出现,使组织不能有效的运 转,造成内耗加大。 3、在团体组织中,没有领导,即使有在这种无序的竞争中也很难履行领导应有的职责。解决的办法如下: 1、任何一个组织要想良性运作,需要建立组织目标。只要有两个人以上的团体就可以形成 一个组织,组织运作效率高低直接影响组织职能完成如何,为此,组织要高效率地实施职能必须确定科学、合理的组织目标。然后将组织目标分解到每一个成员身上,使个体目标明确并且与组织整体目标相一致。 2、组织中要有领导并且维护领导的权威。采用民主方法选举组织领导,领导统一指挥该组 织,在组织中及时领导、组织、协调员工之间的关系,使组织中的成员能够团结合作,从而达到双赢。 3、组织中建立有效的竞争、合作奖励机制。要调动组织中每个成员的积极性,必须建立既 竞争又合作的激励机制,才能激发每个成员积极向上的力量,尽情地施展才华,为完成组织总体目标而不断奋斗。 4、建立职位责任制。将每个员工的职位责任明晰化、制度化,让每个职员明确自己在组织 中的角色以及与其它职位之间的关系,并努力工作完成自己所在职位的角色,不要因为自己角色完成不当而影响其他职位的完成。 总的来说,任何组织以及组织中的个体要有目标;组织中要有领导并且维护领导的权威;组织中建立有效的竞争、合作奖励机制;建立职位责任制等一系列规章制度。在领导者的组织、协调、控制与带领下,组织才能达到最优,实现多赢。 回答完毕! 第二个问题假如你参加工作后,某天早上到单位后有下列工作要处理,你准备怎么办? 1. 由你起草的某工作方案,下午即将参加领导班子集体讨论,你感觉还有不完善的地方. 2. 群众上访的事件中,牵扯到有你负责的某一工作,因此领导责成你尽快出面解释,但问题你还没有彻底搞清楚.你处室某同志参加了后备干部的竞选,组织上已经通知你上午找他谈话,了解该同志的工作和思想上表现. 各位考官,我已准备就绪,现在开始答题: 根据公务员工作时间,我与早上八点三是到达办公室,对今天上午的工作任务进行日程

面试笔试题目及答案(暖通)

深圳航空城(东部)实业有限公司招聘笔试题 暖通工程师类 姓名:申请职位:笔试开始时间: 非常感谢您的到来,为了对您有一个全面的了解,希望您能回答下面的问题,谢谢合作;答题标准时间:45分钟。 一、选择题(3分×25=75分) 1.为防止冬季维护结构内表面结露的下列措施中,哪一种是错误的?(B) A增加维护结构的传热阻 B增加维护结构内表面的换热阻 C降低室内空气的含湿量 D提高室内温度 2.高层民用建筑中内走道应设置排烟设施的要求对于(D)是正确的。 A一类高层建筑长度超过30m的内走道 B二类高层建筑长度超过30m的内走道 C高层建筑长度超过2高层建筑长度超过30m的内走道 D一类建筑和建筑高度超过32m的二类建筑中超过20m的内走道 3.高层民用建筑中防烟分区规定正确的是( A )。 A建筑面积不宜超过500M2 B建筑面积不宜超过500M2且不应跨越防火分区 C建筑面积不宜超过1000M2且不应跨越防火分区 D建筑面积不宜超过1000M2 4.外窗增加低辐射膜(low-e膜)的效果中,错误的是( A)。 A减少冬季太阳辐射的热 B减少夏季太阳辐射的热 C使反射长波辐射的能力减小 D增大外窗的传热热阻 5. 蒸汽采暖系统宜采用哪种散热器?B A 钢制柱型 B 铸铁柱型 C 板型 D 扁管型 6. 当热水集中采暖系统分户热计量装置采用热量表时,系统的公用立管和入户装置应设于何处? B A.明装于楼梯间 B.设于邻楼梯间或户外公共空间的管井内 C.明装于每户厨房间 D.设于邻厨房的管井内 7. 分户热计量热水集中采暖系统,在建筑物热力入口处没必要设置何种装置?A A加压泵 B 热量表 C流量调节装置 D 过滤器 8. 哪种民用建筑的采暖散热器必须暗装或加防护罩?D A 办公楼 B 高层住宅 C 学校 D 幼儿园 9. 设置全面采暖的建筑物,其围护结构的传热阻?D

微电子笔试(笔试和面试题)有答案

第一部分:基础篇 (该部分共有试题8题,为必答题,每位应聘者按自己对问题的理解去回答,尽可能多回答你所知道的内容。若不清楚就写不清楚)。 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA等的概念)。 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。 模拟信号,是指幅度随时间连续变化的信号。例如,人对着话筒讲话,话筒输出的音频电信号就是模拟信号,收音机、收录机、音响设备及电视机中接收、放大的音频信号、电视信号,也是模拟信号。 数字信号,是指在时间上和幅度上离散取值的信号,例如,电报电码信号,按一下电键,产生一个电信号,而产生的电信号是不连续的。这种不连续的电信号,一般叫做电脉冲或脉冲信号,计算机中运行的信号是脉冲信号,但这些脉冲信号均代表着确切的数字,因而又叫做数字信号。在电子技术中,通常又把模拟信号以外的非连续变化的信号,统称为数字信号。 FPGA是英文Field-Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD 等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 2、你认为你从事研发工作有哪些特点? 3、基尔霍夫定理的内容是什么? 基尔霍夫电流定律:流入一个节点的电流总和等于流出节点的电流总和。 基尔霍夫电压定律:环路电压的总和为零。
欧姆定律: 电阻两端的电压等于电阻阻值和流过电阻的电流的乘积。 4、描述你对集成电路设计流程的认识。 模拟集成电路设计的一般过程: 1.电路设计依据电路功能完成电路的设计。 2.前仿真电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真。 3.版图设计(Layout)依据所设计的电路画版图。一般使用Cadence软件。 4.后仿真对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设计版图。 5.后续处理将版图文件生成GDSII文件交予Foundry流片。正向设计与反向设计 State Key Lab of ASIC & Systems, Fudan University 自顶向下和自底向上设计 State Key Lab of ASIC & Systems, Fudan University Top-Down设计 –Top-Down流程在EDA工具支持下逐步成为 IC主要的设计方法 –从确定电路系统的性能指标开始,自系 统级、寄存器传输级、逻辑级直到物理 级逐级细化并逐级验证其功能和性能 State Key Lab of ASIC & Systems, Fudan University Top-Down设计关键技术 . 需要开发系统级模型及建立模型库,这些行 为模型与实现工艺无关,仅用于系统级和RTL 级模拟。

最新公司招聘笔试题目精选——面试人员笔试性格测试题

最新公司招聘笔试题目精选——面试人员笔试性格测试题

面试人员笔试测试题 请将①②③④中,您认识符合你的一项或多项填写在答题卡中!(请在15分钟内完成,以第一印象为主,不要用多加思考)

1、①善于分析—喜欢研究各部分之间的逻辑和正确的关系 ②富于冒险—愿意面对新事物并敢于下决心掌握的人 ③生动—充满活力,表情生动,多手势 ④适应力强—轻松自如适应任何环境 2、①善于说服—用逻辑与事实而不用威严和权力服人 ②坚持不懈—要完成一事才接着做新事 ③喜好娱乐—开心,充满乐趣与幽默 ④平和—在任何冲突中,不受干扰,保持平静 3、①自我牺牲—为他人利益愿意放弃个人意见 ②意志坚定—决心依自己的方式做事的人 ③善于社交—认为与人相处是好玩,是参与的机会,而不是挑战或商业机会 ④顺服—易接受他人的观点或喜好,不坚持已见 4、①自控性—控制自己的情绪,极少流露 ②竞争性—把一切当成竞赛,总是有强烈的赢的欲望 ③令人信服—因价目人魅力或性格使人信服 ④体贴—关心别人的感觉与需要 5、①含蓄—自我约束情绪与热忱 ②使人振作—给他人清新振奋的刺激 ③反应敏捷—对任何情况都能很快做出有效的反应 ④受尊重—对人诚实尊重 6、①敏感—对周围的人事过分关心 ②自立—独立性强,只领先怕能力,判断与才智 ③生机勃勃—充满生命力与兴奋 ④满足—容易接受 7、①计划者—为完成工程和目标事前做详尽计划,宁愿依计划进行工作而不愿执行任务 ②积极—想信自己有转危为安的能力 ③推动者—动用性格魅力可鼓励或逼迫别人参与或投资 ④耐性—不因延误而懊恼,能容忍8、①按部就班—生活与处事均依照时间表,不喜欢计划被人干扰 ②肯定—自信极少犹豫或动摇 ③无拘无束—不喜预先计划,或受计划牵制 ④羞涩—安静、不善于交谈的人 9、①井井有条—有系统有条理安排事情的人 ②坦率—毫无保留,坦率发言 ③乐观—愉快的性情令自己和他人想念任何事都会转好的人 ④迁就—改变自己,使自己与他人协调,短时间内按他人的方式行事 10、①忠诚—一贯可靠,忠心不移,有时甚至毫无理由地奉献 ②强迫性—发号施令者,别人从不反对 ③有趣—风趣,很强的幽默感,任何事情都能变精彩的故事 ④友善—不主动交谈,经常是被动的回答者 11、①细节—对事情记忆清晰,做事有条不紊 ②勇敢—敢于冒险,勇敢,无畏惧 ③可爱—开心,与他相处充满乐趣的人 ④外交手腕—待人得体有耐性 12、①文化修养—对学术、艺术告别爱好,如戏剧、交响乐、芭蕾舞等 ②激励性—鼓励别人参与、加入并能将每件事情变得有趣 ③令人高兴—一向充满活力,并将快乐感染他人 ④贯彻始终—情绪平稳,容易反应,如人所料 13、①理想主义—以自己完美的标准来设想衡量事情 ②独立—自给自足,自己生活,自信,无需他人帮忙 ③激励性—鼓励别人参与、加入并能将每件事情变得有趣 ④无攻击性—从不说谎或引起别人不满和反对的事 14、①深沉—深刻并常常内省,对肤浅的交谈,消遣的厌恶 ②果断—有很快做出判断与结论的能力 ③感情外露—从不掩饰自己的情感、喜好,与人交谈时常身不由己地接触他人 ④尖刻幽默—近乎讽刺的幽默

精选最新2020年JAVA笔试面试考核题库完整版588题(含答案)

2020年JAVA笔试面试题库588题 一、简答题 1.将两个表连接在一起时,下面那一项通常是另外一个表的主键A. 外键 B. 总控键 C. 重复的组 D. 主键 答案:A 2.下列关于Java语言的叙述中,正确的是:A. 源文件名其扩展名为.jar B. Java是不区分大小写的 C. 源文件中public类的数据不限 D. 源文件名必须与public类型的类名相同 答案:D 3.在Weblogic中如果部署的应用访问两个数据库并需要进行事务控制时,则需配置 答案:两阶段提交协议 4.关系数据库中,典型的实体关系模型有三个要素? 答案:数据结构、关系操作、完整性约束 5.转发HTTP请求的两个方法 respsonse.sendDirect 和 RequestDispatcher.forward 的区别 答案:RequestDispatcher.forward()方法和HttpServletResponse.sendRedirect()方法的区别是:前者仅是容器中控制权的转向,在客户端浏览器地址栏中不会显示出转向后的地址;后者则是完全的跳转,浏览器将会得到跳转的地址,并重新发送请求链接。这样,从浏览器的地址栏中可以看到跳转后的链接地址。所以,前者更加高效,在前者可以满足需要时,尽量使用Request Dispatcher.forward()方法,并且,这样也有助于隐藏实际的链接。在有些情况下,比如,需要跳转到一个其它服务器上的资源,则必须使用HttpServletResponse.sendRequest()方法。 6.下面哪个是合法的布尔值 A. “false” B. false C. 10 答案:B 7.J2EE的三层模型是什么?

半结构化面试题目精选大全

一、素质名称:保密意识 ◆考察要素:个体自觉保护涉及到他人或组织利益的信息,避免信息泄漏的认识和行为。 ◆试题内容: 1.你所在的企业是否出现过财务人员的原因导致企业财务机密的泄露的情况,其问题产生的根本原因是什么?(如果回答没有,追问:采取了哪些措施而使保密工作做得很好的?) 评分要点: ◆优:回答较为中肯、真实,系统、全面地分析原因,重点提到了财务人员保密意识对于财务信息保密的重要性。 ◆中:回答较为真实,原因分析中涉及到财务人员保密意识重要性的成分较少。 ◆差:回答虚假成分明显,即使在原因分析中也未提到财务人员保密意识对于财务信息保密的重要性。 2.你能不能给我们介绍一下你以前公司财务做账比较实用的方法,并给我们提一些好的建议? 评分要点: ◆优:能清楚地意识到所回答问题会涉及前公司保密信息,因而能够坚定地表明自己的立场,要对以前公司负责,并指出每个公司都有自己的特点,自己会结合现状,提出新的思路、方法。 ◆中:能够意识到所回答问题会涉及前公司的保密信息,但经过了筛选提出了部分建议。 ◆差:全面地介绍了前公司的做账流程,保密意识弱。 3.小李在证券公司工作,有一天发现自己的表哥用全部存款来买进的股票即将大跌,你觉得小李会怎么做? 评分要点: ◆优:回答合情合理,没有过多地虚假回答,兼顾到了私人交情,但更多地是体现了财务人员的职业操守,保密意识倾向较强。 ◆中:能够坚持保守商业秘密的立场,但会有所暗示地提醒表哥,股票市场有风险,

要早日收仓,做到既不违背保守商业秘密的立场,也能避免陷入极大的困境。 ◆差:回答中虚假成分较多,或者做要求表哥保密或不作要求的情况下将信息告诉他,保密意识差的倾向较为明显。 4.你以前所从事是什么行业?排在重庆市同类企业的什么位置?你们公司的资金状况如何、企业每年能从银行获得货款额大约为多少?(重点第三问) 评分要点: ◆优:能够清楚地意识回答将泄露以前公司的商业秘密,因而委婉地表明自己的立场,表明不仅自己会为即将应聘的职位保守商业秘密,也会为以前工作的单位保守商业秘密,这是基本的职业操守。 ◆中:能够意识回答的问题将泄露以前公司的商业秘密,但会有筛选地、保留地透露部分商业信息给面试人员。 ◆差:回答面试人员询问的问题没有考虑是否会泄露公司秘密,基本上把自己清楚的信息全部透露给面试人员。 5.目前国内很多企业热衷于参加各类“国际某某交流会”、“国际某某展览会”,各企业为了吸引外商、宣传自我,均制作相应的宣传手册。宣传手册制作精美,内容详实丰富,包括企业现状、发展规划、资金状况、经济指标、产品参数、设备工艺甚至行业信息、国家及地方政策法规等信息。请你从企业保密的角度出发来分析此现象。 评分要点: ◆优:能够明确企业的宣传资料和商业秘密或经济情报的界限区分,认为商业秘密对企业的生存发展有着重要的作用,保密意识很强。 ◆中:能够大致指出宣传资料与商业秘密之间的区别,从回答问题中可以看出其保密意识一般。 ◆差:不能意识到泄漏商业秘密的行为,认识不到商业秘密与企业生存发展之间的重要关系,保密意识很差。 二、语言表达能力 题目1:请用一分钟简要介绍一下你的个人简历和家庭情况。 题目2:谈谈你过去学习或工作最满意的一件事。

2019年程序员考试面试题精选题

2019年程序员考试面试题精选题 -求1+2+...+n 题目:求1+2+…+n,要求不能使用乘除法、for、while、if、else、switch、case等关键字以及条件判断语句(A?B:C)。 分析:这道题没有多少实际意义,因为在软件开发中不会有这么变态 的限制。但这道题却能有效地考查发散思维水平,而发散思维水平能 反映出对编程相关技术理解的深刻水准。 通常求1+2+…+n除了用公式n(n+1)/2之外,无外乎循环和递归两种 思路。因为已经明确限制for和while的使用,循环已经不能再用了。同样,递归函数也需要用if语句或者条件判断语句来判断是继续递归 下去还是终止递归,但现在题目已经不允许使用这两种语句了。 我们仍然围绕循环做文章。循环仅仅让相同的代码执行n遍而已,我 们完全能够不用for和while达到这个效果。比如定义一个类,我们new一含有n个这种类型元素的数组,那么该类的构造函数将确定会被调用n次。我们能够将需要执行的代码放到构造函数里。如下代码正 是基于这个思路: class Temp public: Temp() { ++ N; Sum += N; } static void Reset() { N = 0; Sum = 0; } static int GetSum() { return Sum; } private: static int N;

static int Sum; }; int Temp::N = 0; int Temp::Sum = 0; int solution1_Sum(int n) Temp::Reset(); Temp *a = new Temp[n]; delete []a; a = 0; return Temp::GetSum(); 我们同样也能够围绕递归做文章。既然不能判断是不是应该终止递归,我们不妨定义两个函数。一个函数充当递归函数的角色,另一个函数 处理终止递归的情况,我们需要做的就是在两个函数里二选一。从二 选一我们很自然的想到布尔变量,比如ture(1)的时候调用第一个函数,false(0)的时候调用第二个函数。那现在的问题是如和把数值变量n 转换成布尔值。如果对n连续做两次反运算,即!!n,那么非零的n转 换为true,0转换为false。有了上述分析,我们再来看下面的代码:class A; A* Array[2]; class A public: virtual int Sum (int n) { return 0; } };

google面试,笔试逻辑题及答案

谷歌笔试面试逻辑题目,部分答案在最后边。 1.一辆学校班车里面能装多少个高尔夫球? 2.你被缩小到只有硬币厚度那么点高(不是压扁,是按比例缩小),然后被扔到一个空的玻璃搅拌器中,搅拌刀片一分钟后就开始转动。你怎么办? 3.要是让你清洗整个西雅图的所有窗子,你会收取多少费用? 4.怎么才能识别出电脑的内存堆栈是向上溢出还是向下溢出? 5.你要向你8岁的侄子解释什么是数据库,请用三句话完成。 6.时钟的指针一天内会重合几次? 7.你需要从A地去B地,但你不知道能不能到,这时该怎么办? 8.好比你有一个衣橱,里面塞满了各种衬衫,你会怎么整理这些衬衫,好让你以后找衬衫的时候容易些? 9.有个小镇有100对夫妇,每个丈夫都在欺骗他的妻子。妻子们都无法识破自己丈夫的谎言,但是她们却能知道其他任何一个男人是否在撒谎。镇上的法律规定不准通奸,妻子一旦证明丈夫不忠就应该立刻杀死他,镇上所有妇女都必须严格遵守这项法律。有一天,镇上的女王宣布,至少有一个丈夫是不忠的。这是怎么发生的呢? 10.在一个重男轻女的国家里,每个家庭都想生男孩,如果他们生的孩子是女孩,就再生一个,直到生下的是男孩为止。这样的国家,男女比例会是多少? 11.如果在高速公路上30分钟内到一辆车开过的几率是0.95,那么在10分钟内看到一辆车开过的几率是多少(假设为常概率条件下) 12.如果你看到钟的时间是3:15,那一刻时针和分针的夹角是多少?(肯定不是0度!)

13.4个人晚上要穿过一座索桥回到他们的营地。可惜他们手上只有一支只能再坚持17分钟的手电筒。通过索桥必须要拿着手电,而且索桥每次只能撑得起两个人的份量。这四个人过索桥的速度都不一样,第一个走过索桥需要1分钟,第二个2分钟,第三个5分钟,最慢的那个要10分钟。他们怎样才能在17分钟内全部走过索桥? 14.你和朋友参加聚会,包括你们两人在内一共有10个人在场。你朋友想跟你打赌,说这里每有一个人生日和你相同,你就给他1元,每有一个人生日和你不同,他给你2元。你会接受么? 15.全世界有多少个钢琴调音师? 16.你有8个一样大小的球,其中7个的重量是一样的,另一个比较重。怎样能够用天平仅称两次将那个重一些的球找出来。 17.有5个海盗,按照等级从5到1排列。最大的海盗有权提议他们如何分享100枚金币。但其他人要对此表决,如果多数反对,那他就会被杀死。他应该提出怎样的方案,既让自己拿到尽可能多的金币又不会被杀死?(提示:有一个海盗能拿到98%的金币) A.逻辑推理 1、你让工人为你工作7天,给工人的回报是一根金条。金条平分成相连的7段,你必须在每天结束时给他们一段金条,如果只许你两次把金条弄断,你如何给你的工人付费? 2、请把一盒蛋糕切成8份,分给8个人,但蛋糕盒里还必须留有一份。 3、小明一家过一座桥,过桥时是黑夜,所以必须有灯。现在小明过桥要1秒,小明的弟弟要3秒,小明的爸爸要6秒,小明的妈妈要8秒,小明的爷爷要12秒。每次此桥最多可过两人,而过桥的速度依过桥最慢者而定,而且灯在点燃后30秒就会熄灭。问:小明一家如何过桥? 4、一群人开舞会,每人头上都戴着一顶帽子。帽子只有黑白两种,黑的至少有一顶。每个人都能看到其他人帽子的颜色,却看不到自己的。主持人先让大家看看别人头

综合能力面试题题目及答案

综合能力面试题 第一题如果你刚到一个新单位,有一个职务非常适合你,但领导和同事都不了解,你将如何表现自己? 第二题智慧、金钱、权利、真理,你认为哪个最重要?为什么? 第三题自己在工作中遇到的最大的挫折是什么?从中吸取的教训是什么? 第四题你上任后,公司准备出台有关方面的政策,需要你提供一些分管工作情况,你给下属安排后,所提供的资料不够准确,而这时有关部门催要又比较急,你怎么办? 第五题你对职位的近期目标和远期目标是什么?为了达到目标,你需要在哪些方面加强自身能力? 第六题如果你学习了一种新的管理方法或产生了一个新的想法,很希望用到本单位或本部门的工作中,你应该怎么办? 第七题请你谈谈最理想的工作集体应该具备什么条件? 第八题领导交代你将某急件送给甲,第二天领导一上来就责骂你应将文件送至乙为什么送给了甲,你又会如何处理? 第九题当你负责的某项工作需要其他部门协同完成时,你将如何沟通和安排? 第十题如果下属检举你,你该怎么办? 第十一题你是学文(理)科的吧,有用人部门反应,学文的人只会说不会做,务虚有余,务实不足;学理的人只会做不会说,常常做事只见树木不见森林,你怎么认为的? 第十二题有人说干一行爱一行,有人却说爱一行才能干一行,你如何理解? 第十三题有人经常跳槽或换岗位,你怎么看待? 第十四题领导让你负责紧急处理一件事,但应配合的人不但不配合,反而从中作梗,你怎第十五题跟上司起争执,闹得不愉快,怎么办? 第十六题如果你的一项工作受到上级领导的表扬,但你的主管领导却说是他做的,你怎么第十七题你工作一段时间后,领导和同事都觉得你不适合这个岗位,大家碍于面子没有明说,但是暗示过你应该换岗,这时你该怎么办? 第十八题“最大的困难不是远处的高山,而是你鞋子里的一粒沙子”,谈谈你的看法? 第十九题有一个进修的机会,你如何说服领导同意你去进修? 第二十题如果你的领导不支持你的工作怎么办? 第二十一题你工作扎实认真,可是领导和同事不解,不但不说你好,还奚落你,你怎么处理? 第二十二题市场经济条件下充满了竞争,同事之间无形中也存在着竞争,谈谈你如何面对第二十三题你起草了一份文件交给领导审批,可是他看都没看就要你发文了,第二天发现有重大错误,并且领导对你大发雷霆,丝毫不提他自己未审的事实,你很委屈,怎么办?第二十四题责任有时候就意味着最大的付出,你对此有何看法? 第二十五题俗话说“没有规矩,不成方圆”,可是又有人说要创新就不能守规矩,你怎么看? 第二十六题当你和领导意见有冲突时,你如何做? 第二十七题领导让你负责一项工作,并安排了一位老同志协助你,但是工作中大家都只服从老同志的安排,你怎么办? 第二十八题你工作干得并不是很出色,领导却让你当典型,你怎么办? 第二十九题如果你拟订的一个方案,你的直接领导不满意,而公司分管领导却非常满意,你将如何办?

面试问题及答案汇总精选

面试问题及答案汇总 面试问题及答案 试题1:为什么你是这份工作的最佳人选? a、我干过不少这种职位,我的经验将帮助我胜任这一岗位。 b、我干什么都很出色。 c、通过我们之间的交流,我觉得这里是一个很好的工作地点。 d、你们需要可以生产出“效益”的人,而我的背景和经验可以证明我的能力,例如:我曾经…… 例题1:a、错误。经验是好的,但“很多相同职位”也许更让人觉得你并不总能保证很好的表现。 b、错误。很自信的回答,但是过于傲慢。对于这种问题合适的案例和谦虚更重要。 c、错误。这对雇主来说是一个很好的恭维,但是过于自我为中心了,答非所问。应该指出你能为雇主提供什么。 d、最佳答案。回答问题并提供案例支持在这里是最好的策略。 试题2:描述一下你自己。 a、列举自己的个人经历、业余兴趣爱好等。 b、大肆宣扬一下自己良好的品德和工作习惯。 c、列举3个自己的性格与成就的具体案例。 例题2: a、错误。一般来说,招聘者更想通过这个问题了解你的习惯和行为方式。个人的详细资料对他们来说没有任何意义。 b、自大并不能让你从竞争中脱颖而出。回答完问题以后,你必须得到招聘者的信任并让他/她记住你。这样的宣扬并不成功。 c、最佳答案。案例是你能力最好的证据。一个清晰简明有力的案例能让你从人群中脱颖而出,给招聘者留下好印象。因此,在面试以前最好考虑一下这份工作需要自己什么样的品质,做好准备。 XX-08-26 10:45:09 牛一 如果你被问到一个判断性问题,例如:你有没有创造性?你能不能在压力下工作?最好的答案是什么? a) 回答“是”或“否”。 b) 回答“是”或“否”,并给出一个具体的例子。 回答“是”或“否”,并做进一步的解释。c) a) 错误。没有支持的答案总是显得不可信。即使是这种只需要回答“是”或“否”的问题也需要具体的解释。 b) 最佳答案。一个简短的具体安全可以很好地支持你的答案,同时,也能表明你的自信和真诚。 c) 错误。具体案例可以更简单有力地说明你的能力。在解释的时候,人们往往会跑题,夹杂不清。同时,最好不要用《应聘指南》之类上面的“经典”套话,那会让你像个“职业”应聘的。

小升初面试常见笔试题目

小升初面试常见笔试题目 导语:下面是面试常见考题,整理如下,希望对郑州小 升初的同学们有帮助。 一、小升初面试题 测查一:要求每一位同学做简短的自我介绍 测查二:用英文来回答问题,比如说,有这样一道题目:假如你有一位机器人姐妹或者兄弟,你会有什么想法?你会怎么 样做?请简单说明一下。 这类问题并没有一个标准的答案,考察的就是一个人的 随机应变能力,当然也是对英语口语的侧重考察。 测查三:语文考试。有一个老师站起来问:如果让你们 在你们之中选组长,你们会选谁,为什么?这一问题考察的是一 个人的口头表达能力和一个人的观察能力。 测查四:考家长,让家长用简洁的语言介绍一下自己的 孩子,总结孩子今天面试的表现。 二、入学资格测试方式:全部为笔试 语文:①要求考生写出十本读过的中外文学名著,选择 自己最喜欢的一本推荐给大家; ②选择一首古典七律或绝句想象一个小故事。 数学:①让考生描写一节印象最深的数学课, ②让考生对自己最熟悉的奥数内容进行介绍,并编两道 此类内容的题,给出详细的解答过程。

英语:要求写一篇作文,并回答一些问题。 以上信息家长可以看到:一方面家长们可以对学生的面 试技巧进行指导,以便让孩子能够在面试中脱颖而出;另一方面 家长应该利用剩下的几个星期,对孩子进行有针对性的训练。 并且,针对各个科目还应有系统的准备: 语文:文章的条理性与创新性并重,希望能够先声夺人 的同时也有较为完整的文章结构,应该以记叙文为主。同时加强 孩子的课外知识阅读。 数学:题目比较难,应当早作打算。 英语:笔试与口语都很重要,一般面试中都会用到口语,希望孩子尽量做到流畅表达,可以在家或者学校利用一切机会进 行简单的口语交际。口语的好坏对于面试来说占据了很重要的位置。 [小升初面试常见笔试题目]相关文章:

相关文档
相关文档 最新文档