文档库 最新最全的文档下载
当前位置:文档库 › 第六章01 数字逻辑电路

第六章01 数字逻辑电路

第五章组合逻辑电路典型例题分析

第五章 组合逻辑电路典型例题分析 第一部分:例题剖析 例1.求以下电路的输出表达式: 解: 例2.由3线-8线译码器T4138构成的电路如图所示,请写出输出函数式. 解: Y = AC BC ABC = AC +BC + ABC = C(AB) +CAB = C (AB) T4138的功能表 & & Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7 “1” T4138 A B C A 2A 1A 0Ya Yb S 1 S 2 S 30 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 S 1S 2S 31 0 01 0 01 0 01 0 01 0 01 0 01 0 01 0 0 A 2A 1A 0Y 0Y 1Y 2Y 3Y 4Y 5Y 6Y 70 1 1 1 1 1 1 11 0 1 1 1 1 1 11 1 0 1 1 1 1 11 1 1 0 1 1 1 11 1 1 1 0 1 1 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 0

例3.分析如图电路,写出输出函数Z的表达式。CC4512为八选一数据选择器。 解: 例4.某组合逻辑电路的真值表如下,试用最少数目的反相器和与非门实现电路。(表中未出现的输入变量状态组合可作为约束项) CC4512的功能表 A ? DIS INH 2A 1A 0Y 1 ?0 1 0 0 0 00 00 00 0 0 0 0 00 0 ?????0 0 00 0 10 1 00 1 11 0 0 1 0 11 1 01 1 1 高阻态  0D 0D 1D 2D 3D 4D 5D 6D 7 Z CC4512 A 0A 1A 2 D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 DIS INH D 1 D A B C D Y 0 0 0 0 1 0 0 0 1 00 0 1 0 10 0 1 1 00 1 0 0 0 CD AB 00 01 11 1000 1 0 0 101 0 1 0 1 11 × × × ×10 0 1 × × A B 第一步画卡诺图第三步画逻辑电路图

第六章时序逻辑电路

第六章时序逻辑电路 一、选择题 1.同步计数器和异步计数器比较,同步计数器的显著优点是。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP控制。 2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。 A.4 B.5 C.9 D.20 3.下列逻辑电路中为时序逻辑电路的是。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 4.N个触发器可以构成最大计数长度(进制数)为的计数器。 A.N B.2N C.N2 D.2N 5.N个触发器可以构成能寄存位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 6.五个D触发器构成环形计数器,其计数长度为。 A.5 B.10 C.25 D.32 7.同步时序电路和异步时序电路比较,其差异在于后者。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 8.一位8421BCD码计数器至少需要个触发器。 A.3 B.4 C.5 D.10 9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步二进制计数器,最少 应使用级触发器。 A.2 B.3 C.4 D.8 10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 A.1 B.2 C.4 D.8 11.用二进制异步计数器从0做加法,计到十进制数178,则最少需要个触发器。 A.2 B.6 C.7 D.8 E.10 12.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z的脉冲转换为60H Z的脉冲,欲构成此分频器至少需要个触发器。 A.10 B.60 C.525 D.31500

时序逻辑电路试题

第五章时序电路 一、选择题 1.同步计数器和异步计数器比较,同步计数器的显著优点是。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P控制。 2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。 3.下列逻辑电路中为时序逻辑电路的是。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 4.N个触发器可以构成最大计数长度(进制数)为的计数器。 》 5.N个触发器可以构成能寄存位二进制数码的寄存器。 +1 6.五个D触发器构成环形计数器,其计数长度为。 7.同步时序电路和异步时序电路比较,其差异在于后者。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 8.一位8421B C D码计数器至少需要个触发器。 [ 9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同 步二进制计数器,最少应使用级触发器。 10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 11.用二进制异步计数器从0做加法,计到十进制数178,则最少需要个触发器。 12.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z的脉冲转换为60H Z的脉冲,欲构成此分频器至少需要个触发器。

13.某移位寄存器的时钟脉冲频率为100K H Z ,欲将存放在该寄存器中的数左移8 位,完成该操作需要 时间。 μS μS μS [ 14.若用J K 触发器来实现特性方程为AB Q A Q n 1n +=+,则J K 端的方程为 。 =A B ,K =B A + =A B ,K =B A =B A +,K =A B =B A ,K =A B 15.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需要 片。 16.若要设计一个脉冲序列为10的序列脉冲发生器,应选用 个触发器。 二、判断题(正确打√,错误的打×) 1.同步时序电路由组合电路和存储器两部分组成。( ) 2.组合电路不含有记忆功能的器件。( ) ~ 3.时序电路不含有记忆功能的器件。( ) 4.同步时序电路具有统一的时钟CP 控制。( ) 5.异步时序电路的各级触发器类型不同。( ) 6.环形计数器在每个时钟脉冲CP 作用时,仅有一位触发器发生状态更新。( ) 7.环形计数器如果不作自启动修改,则总有孤立状态存在。( ) 8.计数器的模是指构成计数器的触发器的个数。( ) 9.计数器的模是指对输入的计数脉冲的个数。( ) 10.D 触发器的特征方程Q n +1=D ,而与Q n 无关,所以,D 触发器不是时序电路。( ) 11.在同步时序电路的设计中,若最简状态表中的状态数为2N ,而又是用N 级 触发器来实现其电路,则不需检查电路的自启动性。( ) 12.把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。( ) < 13.同步二进制计数器的电路比异步二进制计数器复杂,所以实际应用中较少使 用同步二进制计数器。( ) 14.利用反馈归零法获得N 进制计数器时,若为异步置零方式,则状态S N 只是 短暂的过渡状态,不能稳定而是立刻变为0状态。( )

第六章 时序逻辑电路(阎)

第六章时序逻辑电路

6.1 概述 一、时序逻辑电路的特点 1.功能上:任一时刻的输出不仅取决于该时刻的输入, 还与电路原来的状态有关。 例:串行加法器,两个多位数从低位到高位逐位相加 2. 电路结构上 ①一定包含存储电路 ②存储器状态和输入变量共同决 定输出.

二、时序电路的一般结构形式与功能描述方法

可以用三个方程组来描述:?????===),...,,,...,,(... ),...,,,,....,,() ,(21211212111l j l i q q q x x f y q q q x x x f y Q X F Y 输出方程?????===),...,,,,...,,(...),...,,,,...,,(),(21211212111l i k l i q q q x x x g z q q q x x x g z Q X F Y 驱动方程?????===+++) ,...,,,,...,,(...),...,,,,...,,() ,(2121121211111n l n n i l n l n l n n i n n n q q q z z z h q q q q z z z h q Q Z H Q 状态方程

三、时序电路的分类 1、同步时序电路与异步时序电路 同步:存储电路中所有触发器的时钟使用统一的cp, 触发器状态变化发生在同一时刻。 异步:没有统一的cp,触发器状态的变化有先有后。 2、Mealy 型和Moore 型 Mealy 型:Moore 型:仅取决于电路状态有关、与) Q (F Y Q X ) Q ,X (F Y ==

数字逻辑电路习题集1

第一章 数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为_____________。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、16 6、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数Y= 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数Y= 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题

1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。(√) 2、二进制只可以用来表示数字,不可以用来表示文字和符号等。(╳) 3、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 4、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳) 5、证明两个函数是否相等,只要比较它们的真值表是否相同即可。(√) 6、在逻辑函数表达式中,如果一个乘积项包含的输入变量最少,那么该乘积项叫做最小项。(╳) 7、当决定一件事情的所有条件全部具备时,这件事情才发生,这样的逻辑关系称为非。(╳) 8、在全部输入是“0”的情况下,函数B A Y +=运算的结果是逻辑“0”。( ╳) 9、逻辑变量取值的0和1表示事物相互独立而又联系的两个方面。(√) 10、在变量A 、B 取值相异时,其逻辑函数值为1,相同时为0,称为异或运算。(√) 11、逻辑函数的卡诺图中,相邻最小项可以合并。(√) 12、对任意一个最小项,只有一组变量取值使得它的值为1.(√) 13、任意的两个最小项之积恒为0。(√) 14、半导体二极管因为其有导通、截止两种工作状态,所以可以作为开关元件使用;半导体三极管因为其有饱和、截止、放大三种工作状态,所以其不可以作为开关元件使用。(╳) 15、半导体二极管、三极管、MOS 管在数字电路中均可以作为开关元件来使用。(√) 三、选择题 1、下列哪些信号属于数字信号(B )。 A 、正弦波信号 B 、时钟脉冲信号 C 、音频信号 D 、视频图像信号 2、数字电路中的三极管工作在(C )。 A 、饱和区 B 、截止区 C 、饱和区或截止区 D 、放大区 3、十进制整数转换为二进制数一般采用(A ) A 、除2取余法 B 、除2取整法 C 、除10取余法 D 、除10取整法 4、将十进制小数转换为二进制数一般采用(B ) A 、乘2取余法 B 、乘2取整法 C 、乘10取余法 D 、乘10取整法 5、在(A )的情况下,函数B A Y +=运算的结果是逻辑“0” A 、全部输入是“0” B 、任一输入是“0” C 、任一输入是“1” D 、全部输入是“1” 6、在(B )的情况下,函数AB Y =运算的结果是逻辑“1” A 、全部输入是“0” B 、任一输入是“0” C 、任一输入是“1” D 、全部输入是“1”

第三章组合逻辑电路

第三章 组合逻辑电路 一、选择题 1.下列表达式中不存在竞争冒险的有 。 A.Y =B +A B B.Y =A B +B C C.Y =A B C +A B D.Y =(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 A.5 B.6 C.10 D.50 3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 个。 A.1 B.2 C.4 D.16 4.下列各函数等式中无冒险现象的函数式有 。 A.B A AC C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的 逻辑表达式为Y = 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 7.一个8选一数据选择器的数据输入端有 个。 A.1 B.2 C.3 D.4 E.8 8.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 9.八路数据分配器,其地址输入端有 个。 A.1 B.2 C.3 D.4 E.8 10.组合逻辑电路消除竞争冒险的方法有 。 A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 11.101键盘的编码器输出 位二进制代码。 A.2 B.6 C.7 D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D

数字电子电路与逻辑 刘可文主编 第五章 组合逻辑电路 答案

习 题5 题5-1 逻辑电路如图5-1所示,列出对应逻辑电路的真值表。 解:图5-1所示的逻辑电路,S 的逻辑表达式为:B A B A B AB A AB S +==,C 的逻辑 表达式为:AB C =。电路所对应的真值表如表5-1所示。 题5-2 分析图5-2所示逻辑电路的逻辑功能,并且列出真值表。 解:图5-2所示的逻辑电路,输出变量Y 0、Y 1的逻辑表达式为:CD ABD CD ABD Y 0+=?=,D C B D B C D C A D C B D B C D C A Y 1?++?=????=。 电路所对应的真值表如表5-2所示。 电路的功能分析,从函数表达式以及逻辑真值表,输出变量和输入变量之间没有明显的有规律的逻辑关系,由此可以看出,可以认为电路逻辑功能为两个逻辑函数的产生电路。实现CD ABD Y 0+=,D B D BC D Y 1?++?=逻辑函数的运算。 题5-3 写出如图5-3所示逻辑电路输出函数表达式,并且列出真值表。 解:图5-3所示的逻辑电路,输出变量F 的逻辑表达式为 C B A AC BC AB C B A AC BC AB F ??+++=?????=.电路所对应的真值表如表5-3 所示。 题5-4 利用与非门实现下列函数所描述逻辑功能的逻辑电路。 (1) )D C )(C A (F ++= , (2) C A AB F +=, 图5.1 图5-3

(3) )CD B (A F += , (4) B A D B AC F ++=, (5) )B A )(D B )(C A (F +++= , (6) C B CD C A F ++=, 解:利用反演定律,将各个逻辑函数转换成“与非”表达式为 (1) AD D C )D C )(C A (F 1?=+=++= , (2) C A AB C A AB F 2?=+=, (3) CD B A D B C B A )CD B (A F 3??=++=+=, (4) B A D B AC B A D B AC F 4??=++=, (5) B A D B C A B A D B C A )B A )(D B )(C (F 5???=?++=+++= , (6) C B CD C A C B CD C A F 5??=++=。 根据逻辑函数的相应“与非”表达式作出各个逻辑函数的逻辑电路图如图5-4所示。 题5-5 利用或非门实现下列函数所描述逻辑功能的逻辑电路。 (1). ABCD D ABC D C B A D BC A D C B A D C B A D C B A D C B A L +++++++= (2). )14,11,9,8,6,5,3,1()D ,C ,B ,A (L m ∑= (3). )15,14,13,12,11()9,8,6,5,2,1()D ,C ,B ,A (L d m ∑+∑= (4). )4,3()6,2,1()C ,B ,A (L d m ∑+∑= (5). C A BC B A L ++= 解:利用如图5-5(1)、(2)、(3)、(4)、(5)、(6)所示的逻辑函数“卡诺图”,将逻辑函数化简并利用反演定律,将各个逻辑函数转换成“或非”表达式为 (1). ABCD D ABC D C B A D BC A D C B A D C B A D C B A D C B A L 1+++++++= =∑m (0,1,2,4,6,10,14,15)=)C A )(D B A )(D C B (+++++ C A D B A D C B +++++++= (2). )14,11,9,8,6,5,3,1()D ,C ,B ,A (L m 2∑= )D C B )(C B A )(D C B )(D C B )(D B A (++++++++++= D C B C B A D C B D C B D B A ++++++++++++++= (3). )15,14,13,12,11()9,8,6,5,2,1()D ,C ,B ,A (L d m 3∑+∑= )C A )(D C A )(D C A (+++++=C A D C A D C A +++++++= (4). )4,3()6,2,1()C ,B ,A (L d m 4∑+∑= A )D B )(D C (++=A D B D C ++++= (5). C A BC B A L 5++=)C B )(C A (++=C B C A +++=。

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

第六章 几种常用的组合逻辑电路试题及答案上课讲义

第六章几种常用的组合逻辑电路试题及答 案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由 决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有; b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n个输入端最多可有个输出端。 4、(8-2易) 74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出 有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。

第五章时序逻辑电路

第五章时序逻辑电路

第五章 触发器 本章教学目的、要求: 1. 掌握各种触发器的逻辑功能和工作原理。 2. 熟悉各种触发器的电路结构及动作特点。 3. 了解不同功能触发器之间的相互转换。 重点:触发器的逻辑功能和动作特点。 难点:触发器的不同电路结构及各自的动作特点。 第一节 概 述 触发器:(Flip-Flop)能存储一位二进制信号的基本单元。用FF 表示。 特点: 1.具有两个能自行保持的稳定状态,用来表示逻辑状态的0和1,或二进制数的0和1。 2.根据不同的输入信号可以置成 1 或 0 状态。 根据电路结构不同分为:基本RS 触发器、同步RS 触发器、主从触发器、边沿触发器。 按逻辑功能分:RSFF 、DFF 、JKFF 、TFF 等。 3.根据存储数据的原理不同分为:静态触发器和动态触发器。 第二节 SR 锁存器 一、电路结构与工作原理 1.电路结构和工作原理: 触发器的1状态:0,1='=Q Q 触发器的0状态:1,0='=Q Q ① 当R'D =0, S' D =1时,无论触发器原来处于什么状态,其次态一定为0,即Q =0,Q' =1,称触发器处于置0(复位)状态。 ② 当R'D =1,S'D =0时,无论触发器原来处于什么状态,其次态一定为1,即Q =1,Q'=0, S R 图形符号 Q Q ' D 'S D 'R 置位端 或置1 复位端 或 Q Q ' D 'S D 'R 电路结构

称触发器处于置1(置位)状态。 ③ 当R'D =1,S'D =1时,触发器状态不变,即Q *=Q ,称触发器处于保持(记忆)状态。 ④ 当R'D =0,S'D =0时,两个与非门输出均为1(高电平),此时破坏了触发器的互补输出关系,而且当R'D 、S'D 同时从0变化为1时,由于门的延迟时间不一致,使触发器的次态不确定,即Q *=?,这种情况是不允许的。因此规定输入信号R'D 、S'D 不能同时为0,它们应遵循R'D + S'D =1的约束条件。 从以上分析可见,基本RS 触发器具有置0、置1和保持的逻辑功能,通常称S'D 为置1端或置位(SET)端,R'D 称为置0或复位(RESET)端,因此该触发器又称为置位—复位(SetReset)触发器或R D S D 触发器,其逻辑符号如上图所示。因为它是以R'D 和S'D 为低电平时被清0和置1的,所以称R'D 、S'D 低电平有效,且在图中输入端加有小圆圈。 2.逻辑功能的描述 ①特性表 用与非门构成的基本RSFF 也可用右表描述。 只需将表中的R'D 和S'D 看作是该触发器输入信号 ②特性方程: ③状态转换图:(简称状态图) *='+=D D D D R S Q R S Q R = 0 R = ×S =0S =× R =0 R = 1S = 0 置1 置0 不允许 保持

第6章-时序逻辑电路.

6 时序逻辑电路 6.1.1 已知一时序电路的状态表如表题6.1.1所示,A为输入信号,试作出相应的状态图。 解:由状态图的概念及已知的状态表,可画出对应的状态图,如图题解6.1.1所示。 6.1.2已知状态表如表题6.1.2所示,输入为X1X0,试作出相应的状态图。 解:根据表题6.1.2所示的状态表,作出对应的状态图如图题解6.1.2所示。

6.1.3已知状态图如图题6.1.3所示,试列出它的状态表。 解:按图题6.1.3列出的状态表如表题解6.1.3所示。 6.1.5 图题6.1.5所示是某时序电路的状态图,设电路的初始状态为01,当序列A=100110(自左至右输入)时,求该 电路输出Z的序列。 解:由图题6.1.5所示的状态图可知,当初态为01,输入信号的序列A=100110时,该时序 电路将按图题解6.1.5所示的顺序改变状态,因而对应的输出序列为Z=011010。

6.1.6已知某时序电路的状态表如表题6.1.6所示,输入A,试画出它的状态图。如果电路的初始状态在b,输入信号A一次是0、1、0、1、1、1、1,试求出其相应的输出。 解:根据表题6.1.6所示的状态表,可直接画出与其对应的状态图,如图题解6.1.6(a)当从初态b开始,依次输入0、1、0、1、1、1、1信号时,该时序电路将按图题解6.1.6(b)所示的顺序改变状态,因而其对应的输出为1、0、1、0、1、0、1。 6.2 同步时序逻辑电路的分析 6.2.1 试分析图题6.2.1(a)所示时序电路,画出其状态表和状态图。设电路的初始状态为0,试画出6.2.1(b)所示波形作用下,Q和Z的波形图。

(完整版)第五章 CMOS组合逻辑电路设计II

第五章CMOS组合逻辑电路设计II -动态CMOS电路 第一节动态逻辑门电路的基本结构、原理、特点第二节多米诺(Domino)CMOS电路 第三节改进的Domino CMOS电路 第四节时钟CMOS (C2MOS)

第一节动态逻辑门电路的基本结构、原理、特点 一、预充-求值动态CMOS的基本结构和工作原理 二、动态CMOS的特点 三、动态CMOS的问题 四、动态CMOS的级联 静态电路:靠管子稳定的导通、截止来保持输出状态除状态反转外,输出始终与VDD和GND保持通路。动态电路:靠电容来保存信息

一、预充-求值动态CMOS 的基本结构和工作原理 In 1In 2PDN In 3M e M p Clk Clk Out C L 预充-求值动态CMOS 电路的基本结构 工作过程: ?预充阶段:Clk =0,Out 被Mp 预充到VDD ,Me 截止,无论输入何值,均不存在直流通路。 此时的输出无效。 ?求值阶段:Clk =1,Mp 截止,Me 导通,Out 和GND 之间形成一条有条件的路径。具体由PDN 决定。若PDN 存在该路径,则Out 被放电,Out 为低电平,“0”。如果不存在,则预充电位保存在CL 上,Out 为高电平“1”。 ?求值阶段,只能有与GND 间的通路,无与VDD 间的,一旦放电,不可能再充电,只能等下次。 预充FET 求值FET

预充-求值动态CMOS 电路的工作原理 预充 预充 求值 输出只在此时有效 ) ,2,1(Xn X X F Y ???=当Clk =1时 Clk Out Clk =0时,输出为1,与输入无关

第六章几种通用的组合逻辑电路试题及其规范标准答案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有;b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n 个输入端最多可有个输出端。 4、(8-2易)74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。 12.(8-4中)发光二极管正向工作电压一般为__________。为了防止二极管过电流而损坏,使用时在每个二极管支路中应______________。 13.(8-3中)单片机系统中,片内存储容量不足需要外接存储器芯片时,可用_________作高位地址码。 14.(8-3中)数字系统中要求有一个输入端,多个数据输出端,可用_________输入端作为

第4章组合逻辑电路教案

第4章组合逻辑电路 一、教学目的: 本章主要介绍组合逻辑电路的特点、组合逻辑电路的分析方法和设计方法,以及加法器、编码器、译码器、数据选择器、数据比较器、奇偶校验器等常用组合逻辑电路的电路结构、工作原理和使用方法,最后介绍组合逻辑电路中的竞争-冒险。 二、教学题要 4.1 概述 尽管各种组合逻辑电路在功能上千差万别,但是它们的分析方法和设计方法有共同之处。掌握了分析方法,就可以识别任何一个给定的组合逻辑电路的逻辑功能;掌握了设计方法,就可以根据给定的设计要求设计出相应的组合逻辑电路。 4.1.1 组合逻辑电路的结构和特点 4.1.2 组合逻辑电路的分析方法 4.1.3 组合逻辑电路的设计方法 4.2 若干常用的组合逻辑电路 在数字系统设计中,有些逻辑电路是经常或大量使用的,为了使用方便,一般把这些逻辑电路制成中、小规模集成电路产品。在组合逻辑电路中,常用的集成电路产品有加法器、编码器、译码器、数据选择器、数据比较器及奇偶校验器等。下面分别介绍这些组合逻辑部件的电路结构、工作原理和使用方法。为了增加使用的灵活性,在多数中规模集成的组合逻辑电路上,都设置了附加的控制端。控制端既可以控制电路的工作状态(工作或禁止),又可作为输出信号的选通信号,还可以实现器件的扩展。合理地运用这些控制端,不仅能使器件完成自身的逻辑功能,还可以用这些器件实现其他组合逻辑电路,最大限度发挥电路的潜力。 4.2.1 算术运算电路 4.2.2 编码器 4.2.3 译码器 4.2.4 数据选择器 4.2.5数值比较器 4.2.6奇偶校验器 4.3 采用中规模集成部件实现组合逻辑电路 由于中规模集成电路的大量出现,许多逻辑问题可以直接选用相应的集成器件来实现,这样既省去繁琐的设计,又可以避免设计中带来的错误。中规模集成部件都具有与其名称相吻合的专用功能,但对于某些中规模集成电路来说,除了能完成自身的功能外,还可以用来实现组合逻辑电路。下面以译码器和数据选择器为例,介绍用中规模集成电路实现组合逻辑电路的方法。 4.3.1 用译码器实现组合逻辑电路 4.3.2 用数据选择器实现组合逻辑电路 4.4 组合逻辑电路的竞争—冒险现象 为了增加组合逻辑电路使用的可靠性,需要检查电路中是否存在竞争—冒险。如果发现有竞争—冒险存在,则应采取措施加以消除。 4.4.1 竞争—冒险现象及其成因

第5章 时序逻辑电路思考题与习题题解

思考题与习题题解 5-1填空题 (1)组合逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与电路原来所处的状态无关;时序逻辑电路任何时刻的输出信号,与该时刻的输入信号有关;与信号作用前电路原来所处的状态有关。 (2)构成一异步n2进制加法计数器需要 n 个触发器,一般将每个触发器接成计数或T’型触发器。计数脉冲输入端相连,高位触发器的 CP 端与邻低位Q端相连。 (3)一个4位移位寄存器,经过 4 个时钟脉冲CP后,4位串行输入数码全部存入寄存器;再经过 4 个时钟脉冲CP后可串行输出4位数码。 (4)要组成模15计数器,至少需要采用 4 个触发器。 5-2 判断题 (1)异步时序电路的各级触发器类型不同。(×)(2)把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。(×)(3)具有 N 个独立的状态,计满 N 个计数脉冲后,状态能进入循环的时序电路,称之模N计数器。(√)(4)计数器的模是指构成计数器的触发器的个数。(×) 5-3 单项选择题 (1)下列电路中,不属于组合逻辑电路的是(D)。 A.编码器 B.译码器 C. 数据选择器 D. 计数器 (2)同步时序电路和异步时序电路比较,其差异在于后者( B )。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 (3)在下列逻辑电路中,不是组合逻辑电路的有( D )。 A.译码器 B.编码器 C.全加器 D.寄存器 (4)某移位寄存器的时钟脉冲频率为100KHz,欲将存放在该寄存器中的数左移8位,完成该操作需要(B)时间。 A.10μS B.80μS C.100μS D.800ms (5)用二进制异步计数器从0做加法,计到十进制数178,则最少需要( C )个触发器。 A.6 B.7 C.8 D.10 (6)某数字钟需要一个分频器将32768Hz的脉冲转换为1HZ的脉冲,欲构成此分频器至少需要(B)个触发器。 A.10 B.15 C.32 D.32768 (7)一位8421BCD码计数器至少需要(B)个触发器。 A.3 B.4 C.5 D.10

数字逻辑电路第1章习题解答

第1章 数字逻辑基础 1-1 将下列二进制数转换为十进制数。 (1) 2(1101) (2) 2(10110110) (3) 2(0.1101) (4) 2(11011011.101) 解 (1)3210210(1101)12120212(13)=?+?+?+?= (2)75421210(10110110)1212121212(182)=?+?+?+?+?= (3) 124210(0.1101)1212120.50.250.0625(0.8125)---=?+?+?=++= (4) 76431013210 (11011011.101)22222222 12864168210.50.125 (219.625)--=+++++++=+++++++= 1-2 将下列十进制数转换为二进制数和十六进制数 (1) 10(39) (2) 10(0.625) (3) 10(0.24) (4) 10(237.375) 解 (1)10216(39)(100111)(27)== (2) 10216(0.625)(0.101)(0.A)== (3)近似结果: 16210)3.0()00111101.0()24.0(D =≈ (4) 10216(237.375)(1110'1101.011)(0ED.6)== 1-3 将下列十六进制数转换为二进制数和十进制数 (1) 16(6F.8) (2) 16(10A.C) (3) 16(0C.24) (4) 16(37.4) 解 (1) 16210(6F.8)(1101111.1)(111.5)== (2) 16210(10A.C)(1'0000'1010.11)(266.75)== (3) 16210(0C.24)(1100.0010'01)(12.140625)== (4) 16210(37.4)(11'0111.01)(55.25)== 1-4 求出下列各数的8位二进制原码和补码 (1) 10(39)- (2) 10(0.625) (3) 16(5B) (4) 2(0.10011)- 解 (1)10(39)(1'0100111)(1'1011001)-==原码补码 (2) (0.1010000)(0.1010000)==10原码补码(0.625) (3) 16(5B)(01011011)(01011011)==原码补码 (4) 2(0.10011)(1.1001100)(1.0110100)-==原码补码

第5章课后习题参考答案

第五章组合逻辑电路 1.写出如图所示电路的输出信号逻辑表达式,并说明其功能。 (a)(b) 解:(a)Y1ABC(判奇功能:1的个数为奇数时输出为1) Y2AB(AB)CABACBC(多数通过功能:输出与输入多数一致)(b)Y1(AB)A(AB)BABAB(同或功能:相同为1,否则为0)2.分析如图所示电路的逻辑功能 (a)(b)(c) 解:(a)Y 1ABAB(判奇电路:1的个数为奇数时输出为1) 0011 (b)Y2(((AA)A)A)(判奇电路:1的个数为奇数时输出为1) 0123 YAM 00 (c)Y 1 A M 1 (M=0时,源码输出;M=1时,反码输出) YAM 23 3.用与非门设计实现下列功能的组合逻辑电路。(1)实现4变量一致电路。 (2)四变量的多数表决电路 解:(1) 1)定变量列真值表:

ABCDYABCDY 0000110000 0001010010 0010010100 0011010110 010******* 010******* 0110011100 0111011111 2)列函数表达式:YABCDABC D ABCDABCD 3)用与非门组电路 (2)输入变量A、B、C、D,有3个或3个以上为1时输出为1,输人为其他状态时输出为0。 1)列真值表2)些表达式 3)用与非门组电路 4.有一水箱由大、小两台水泵ML和Ms供水,如图所示。水箱中设置了3个水位检测元

件A、B、C,如图(a)所示。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C点时水泵停止工作;水位低于C点而高于B点时Ms单独工作;水位低于B点而高于A点时ML单独工作;水位低于A点时 ML和Ms同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。 解:(1)根据要求列真值表(b) (b)(a) (2)真值表中×对应的输入项为约束项,利用卡诺图化简(c)(d) (c)(d) (e) 得:MABC s MB L (ML、M S的1状态表示工作,0状态表示停止) (3)画逻辑图(e)

第5章 典型组合逻辑电路

I I 4 5 7 I 1 6 2 I I I 0 3 I I I 9 8 I 图 P5.7 图P5.8 第5章 组合逻辑电路应用 习题5 5.1 设计一个10线-4线编码器,输出为8421BCD 码。 5.2 试用2片8线-3线优先编码器74148,设计一个10线-4线优先编码器。连接时允许附加必要的门电路。 5.3 试分析图P5.3所示电路的功能(74148为8线-3线优先编码器)。 5.4 分析图P5.4所示电路的功能。 5.5 用2片3线-8线译码器74138,组成4线-16线译码器。 5.6 某一个8421BCD 码七段荧光数码管译码电路的e 段部分出了故障,为使数码管能正确地显示0~9十种状态,现要求单独设计一个用与非门组成的e 段译码器。已知共阳极数码管如图P5.6所示。 5.7 分析图P5.7所示电路的功能(74148为8线-3线优先编码器)。 5.8 画出用两片4线-16线译码器74154组成5线-32译码器的接线图。图P5.8是74154的符号,S A 和S B 是两个控制端(亦称片选端),译码器工作时应使S A 和S B 同时为低电平,当输入信号A 3A 2A 1A 0为0000到1111共16种状态时,输出端从Y 0到Y 15依次给出低电平输出信号。 图P5. 4 图P5.6 图P5.3

5.9 设计一个编码转换器,将三位2进制码转换为循环码。 5.10 某医院的某层有6个病房和一个大夫值班室,每个病房有一个按扭,在大夫值班室有一个优先编码器电路,该电路可以用数码管显示病房的编码。各个房间按病人病情严重程度不同分类,1号房间病人病情最重,病情按房间号依次降低,6号房间病情最轻。试设计一个呼叫装置,该装置按病人的病情严重程度呼叫大夫,若两个或两个以上的病人同时呼叫大夫,则只显示病情最重病人的呼叫。 5.11 设计一个电话机信号控制电路。电路有I 0(火警)、I 1(盗警)和I 2(日常业务)三种输入信号,通过排队电路分别从Y 0、Y 1、Y 2输出,在同一时间只能有一个信号通过。如果同时有两个以上信号出现时,应首先接通火警信号,其次为盗警信号,最后是日常业务信号。试按照上述轻重缓急设计该信号控制电路。要求用集成门电路7400(每片含4个2输入端与非门)实现。 5.12 试用一片3线-8线译码器T3138,实现下列逻辑函数(可使用必要的门电路): (1)B A L =1 (2)B A AB L +=2 (3)C B A L ⊕⊕=3 5.13 用4路数据选择器实现下列函数: (1) ∑=)5,4,2,0(),,(1m C B A L (2) ∑= )7,5,3,1(),,(2m C B A L (3)∑=)7,5,2,0(),,(3m C B A L (4)∏= )3,2,0(),,(4M C B A L 5.14 用8路数据选择器实现下列函数: (1) ∑=)15,13,10,8,7,5,2,0(),,,(1m D C B A L (2) ∑= )12,10,9,5,4,3,0(),,,(2m D C B A L (3) C B AB C B A L +=),,(3 5.15 将四选一数据选择器,扩展为16选一数据选择器。 5.16 用3线-8线译码器74138和8选1数据选择器74151和少量与非门实现组合逻辑电路。当控制变量C 2C 1C 0=000时,F=0;C 2C 1C 0=001时,F=ABC ;C 2C 1C 0=010时,F=A+B+C ;C 2C 1C 0=011时,F=ABC ;C 2C 1C 0=100时,F=C B A ++;C 2C 1C 0=101时,F=C B A ⊕⊕;C 2C 1C 0=110时,F=AB+AC+BC ;C 2C 1C 0=111时,F=1。画出电路图。 5.17 分析图P5.17所示电路的工作原理,说明电路的功能。

相关文档
相关文档 最新文档