文档库 最新最全的文档下载
当前位置:文档库 › 集成电路模拟试题

集成电路模拟试题

集成电路模拟试题
集成电路模拟试题

集成电路模拟试题(1)

一,选择题(四个答案中只有一个是正确的)( 20 ’ )

1,对集成运放输出级的要求是

(a)具有很高的输出电阻和较低的输入电阻;(b)具有大的输出功率;(c)不计效率;(d)不需要过流和过压保护措施等。

2,由双运放构成的实际差分放大器,其输出电压的大小与下列哪个说法一致

(a)与差模输入电压成正比;(b)共模放大倍数越大,输出电压越小;(c)共模放大倍数越小,输出电压越小;(d)同相输入端电压越小,输出电压越大。

3,在反相积分器中

(a)输出电压与输入电压同相;(b)输出电压与输入电压反相;(c)输出电压比输入电压滞后90°;(d)输出电压比输入电压超前90°。

4,常用集成仪器放大器内部的运放个数是

(a)1个;(b)2个;(c)3个;(d)4个。

5,在绝对值变换器中

(a)输出电压与输入电压成正比;(b)输出电压与输入电压成反比;(c)输出电压与输入电压同相位;(d)输出电压与输入电压反相。

6,在民用电器中,经常要对电压进行过压、正常电压的检测,可以采用

(a)单门限比较器;(b)迟滞比较器;(c) 三态比较器;(d)窗口比较器。

7,阻抗变换器是用运放加外围元件实现

(a)大电阻变小电阻;(b)电感变电容;(c)小电感变大电感;(d)小电阻变大电阻。8,DAC0832的转换精度

(a)与转换位数有关;(b)与参考电压无关;(c)与使用的电路无关;(d)与输入电压的大小有关。

9,ICL8038函数发生器的工作频率范围是

(a)0.01Hz~500kHz;(b) 0.1Hz~500kHz;(c)1Hz~500Hz;(d)1Hz~1MHz

10,集成稳压器7805的输出电流是

(a)100mA;(b)500mA;(c)1.5A;(d)5A

答案:1~5(b)(a)(d)(c)(c) 6~10(a)(d)(a)(a)(c)

二 求输入阻抗(15’)

答案:

A1的输出电压

A2的输出电压

解得 图4-1-2 输入电流为

代入得等效输入阻抗 三 分析题( 15’)

1,二阶低通滤波器电路如图4-1所示,求传递函数。

二阶低通滤波器电路如图

答案:

425

31i i ie Z Z Z Z Z I U Z == 5o2i 5i Z U U I I -==)1(3142i o2Z Z Z Z U U -= 34o134i o2)1(Z Z U Z Z U U -+=)1(12i o1Z Z

U U +=

四设计题

1.用LM317三端可调集成电路设计一个1.25~20V输出的直流电源。( 15’ )答案:设计电路如图4-3所示

电路中,R1和Rp组成可调输出的电阻网络,为了能使电路中偏置电流和调整管的漏电丽披吸收,所以设定R1为120~240Ω。通过R1泄放的电流为5~10mA。输人电容C1用于抑制纹波电压。输出电容C0用于消振,缓冲冲击性负载,保证电路工作稳定。

输出电压为

U。=1.25(1+Rp/R1)+I D Rp

2. 设计双极性稳压电源电路,两输出端输出正负5伏稳压电源 ( 15’)

答案:

电路如图所示,由变压器输出的交流双12.5V 电压经VD1~VD4整流,C1、C2滤波得到一直流电压,其中变压器双电源的中心抽头作为公共接地端, 然后分别把该直流电压正负极接入7805和7905的输入端,接地端同时接地,两输出端输出正负5伏稳压电源。

五 计算:由两个运放构成的U/I 变换器,求转换系数(20’)

答案:

A1为同相加法器,A2为跟随器。

由图可知 U o2 = R L I L , I 1 = I 2

代入U +得

4o23R U U R U U i -=-++o2334i 4U R U R U R U R -=-++L L 433i 434R I R R R U R R R U +++=+++=U R R R U 1211o

要使IL 与RL 无关,必须使 或 为此运放电路的匹配条件。

为简化分析,选取 R 3=R 1,R 4=R 2,得

解得

所以 123124o1i L L 134134()()()()R R R R R R U U I R R R R R R R ++=+++L 5L L I R I R =+1)()(431321=++R R R R R R 321431)()(R R R R R R +=+5L i 431421)()(R I U R R R R R R =?++i 4351421L )()(U R R R R R R R I ?++=i 512L U R R R I =

大规模集成电路应用

《大规模集成电路应用》论文姓名:谭宇 学号: 20104665 学院: 计算机与信息工程学院 专业班级: 自动化3班

大规模集成电路的体会 摘要:信息飞速发展时代,半导体、晶体管等已广泛应用,大规模集成电路也 成为必要性的技术,集成电路诞生以来,经历了小规模(SSI)、中规模(MSI)、大规模(LSI)的发展过程,目前已进入超大规模(VLSI)和甚大规模集成电路(ULSI)阶段,进入片上系统(SOC)的时代。 关键字:大规模集成;必要性;体会; 1 大规模集成的重要性 集成电路产业是衡量一个国家综合实力的重要重要指标。而这个庞大的产业主要由集成电路的设计、芯片、封装和测试构成。在这个集成电路生产的整个过程中,集成电路测试是惟一一个贯穿集成电路生产和应用全过程的产业。如:集成电路设计原型的验证测试、晶圆片测试、封装成品测试,只有通过了全部测试合格的集成电路才可能作为合格产品出厂,测试是保证产品质量的重要环节。 集成电路测试是伴随着集成电路的发展而发展的,它为集成电路的进步做出了巨大贡献。我国的集成电路自动测试系统起步较晚,虽有一定的发展,但与国外的同类产品相比技术水平上还有很大的差距,特别是在一些关键技术上难以实现突破。国内使用的高端大型自动测试系统,几乎是被国外产品垄断。市场上各种型号国产集成电路测试,中小规模占到80%。大规模集成电路测试系统由于稳定性、实用性、价格等因素导致没有实用化。大规模/超大规模集成电路测试系统主要依靠进口满足国内的科研、生产与应用测试,我国急需自主创新的大规模集成电路测试技术,因此,本文对集成电路测试技术进行了总结和分析。 2 集成电路测试的必要性 随着集成电路应用领域扩大,大量用于各种整机系统中。在系统中集成电路往往作为关键器件使用,其质量和性能的好坏直接影响到了系统稳定性和可靠性。 如何检测故障剔除次品是芯片生产厂商不得不面对的一个问题,良好的测试流程,可以使不良品在投放市场之前就已经被淘汰,这对于提高产品质量,建立生产销售的良性循环,树立企业的良好形象都是至关重要的。次品的损失成本可以在合格产品的售价里得到相应的补偿,所以应寻求的是质量和经济的相互制衡,以最小的成本满足用户的需要。 作为一种电子产品,所有的芯片不可避免的出现各类故障,可能包括:1.固定型故障;2.跳变故障;3.时延故障;4.开路短路故障;5桥接故障,等等。测试的作用是检验芯片是否存在问题,测试工程师进行失效分析,提出修改建议,从工程角度来讲,测试包括了验证测试和生产测试两个主要的阶段。 一款新的集成电路芯片被设计并生产出来,首先必须接受验证测试。在这一阶段,将会进行功能测试、以及全面的交流(AC)参数和直流(DC)参数的测试等,也可能会探测芯片的内部结构。通常会得出一个完整的验证测试信息,如芯片的工艺特征描述、电气特征(DC参数、AC参数、电容、漏电、温度等测试条件)、时序关系图等等。通过验证测试中的参数测试、功能性测试、结构性测试,可以诊断和修改系统设计、逻辑设计和物理设计中的设计错误,为最终规范(产品手册)测量出芯片的各种电气参数,并开发出测试流程。 当芯片的设计方案通过了验证测试,进入生产阶段之后,将利用前一阶段设

3.2模拟集成电路设计-差分放大器版图

集成电路设计实习Integrated Circuits Design Labs I t t d Ci it D i L b 单元实验三(第二次课) 模拟电路单元实验-差分放大器版图设计 2007-2008 Institute of Microelectronics Peking University

实验内容、实验目的、时间安排 z实验内容: z完成差分放大器的版图 z完成验证:DRC、LVS、后仿真 z目的: z掌握模拟集成电路单元模块的版图设计方法 z时间安排: z一次课完成差分放大器的版图与验证 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page1

实验步骤 1.完成上节课设计放大器对应的版图 对版图进行、检查 2.DRC LVS 3.创建后仿真电路 44.后仿真(进度慢的同学可只选做部分分析) z DC分析:直流功耗等 z AC分析:增益、GBW、PM z Tran分析:建立时间、瞬态功耗等 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page2

Display Option z Layout->Options ->Display z请按左图操作 Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page3

由Schematic创建Layout z Schematic->Tools->Design Synthesis->Layout XL->弹出窗口 ->Create New->OK >选择Create New>OK z Virtuoso XL->Design->Gen From Source->弹出窗口 z选择所有Pin z设置Pin的Layer z Update Institute of Microelectronics, Peking University集成电路设计实习-单元实验三Page4

大规模集成电路设计答案(1)

`CMOS反相器电路图、版图、剖面图

CMOS的广泛使用,是由于解决了latch-up效应 Latch-up效应解释、原理、解决方法(略) 避免栅锁效应方法:用金掺杂或中子辐射,降低少数载流子寿命;深阱结构或高能量注入形成倒退阱;将器件制作于高掺杂衬底上的低掺杂外延层中;沟槽隔离。 在基体(substrate)上改变金属的掺杂,降低BJT的增益 ?避免source和drain的正向偏压 ?增加一个轻掺杂的layer在重掺杂的基体上,阻止侧面电流从垂直BJT到低阻基体上的通路 ?使用Guard ring: P+ ring环绕nmos并接GND;N+ ring环绕pmos 并接VDD,一方面可以降低Rwell和Rsub的阻值,另一方面可阻止栽子到达BJT的基极。如果可能,可再增加两圈ring。 ? Substrate contact和well contact应尽量靠近source,以降低Rwell和Rsub的阻值。?使nmos尽量靠近GND,pmos尽量靠近VDD,保持足够的距离在pmos 和nmos之间以降低引发SCR的可能 ?除在I/O处需采取防Latch up的措施外,凡接I/O的内部mos 也应圈guard ring。? I/O处尽量不使用pmos(nwell) 门级电路图(AOI221) AOI221=(AB+CD+E)’

伪NMOS: 伪NMOS的下拉网络和静态门的下拉网络相似,上拉网络是用一个PMOS管,且此管输入接地,因此PMOS管总是导通的。 动态电路: 动态电路用一个时钟控制的PMOS管取代了总是导通的PMOS管,克服了有比电路的缺点。动态电路速度快,输入负载小,切换时不存在竞争电流,而且动态电路没有静态功耗。 动态电路存在的根本性问题就是对输入单调性的要求。 多米诺电路: 多米诺电路由一级动态门和一级静态CMOS反相器构成。典型结构: 下拉网络+上拉预充值网络+反相器构成 过程就是充值+求值的过程 在多米诺电路中,所有门的预充、求值都可以用一个时钟控制。求值期间,动态门的输出单调下降,所以静态反相器的输出单调上升。多米诺电路是同时进行预充,但求值是串行的。逻辑功效(logic effort) 逻辑功效定义为门的输入电容与能够提供相同输出电流的反相器的输入电容的比值。也就是说逻辑功效表示某个门在产生输出电流时相比反相器的糟糕程度。逻辑功效不仅使我们能容易计算时延,它也向我们展示了如何确定晶体管的尺寸以优化路径中的延时。

基于Δ-Σ系列AD转换器的心电图前端模拟电路设计

基于Δ-Σ系列AD转换器的心电图前端模拟电路设计 摘要 这篇文章主要讨论了心电信号的特点和几种不同的心电信号前端获得方法。同时,也讨论了在整个系统设计时不同的方案和效果的折中。这篇报告同时涵盖了基于ADS1258和ADS1278的前端框架的电源实现以及各自的噪声测量结果。 目录 1 简介 (1) 2 心电图信号特点以及系统设计提示 (2) 3 系统方法 (4) 4 基于低分辨率的心电图模拟前端 (5) 5 用24位的Δ-Σ ADC实现廉价心电图模拟前端 (7) 6 基于ADS1258的顺序抽样测量 (9) 7 基于ADS1278同步采样测量 (10) 8 参考文献 (12) 图表目录 图1 心电图信号特点 (2) 图2 基于ADC分辨率的系统方法 (4) 图3 典型的基于SAR的ECG信号链(顺序采样) (5) 图4 Δ-Σ为基础的,低成本的心电图信号链(顺序采样) (7) 图5 Δ-Σ为基础的低成本的心电图信号链(同步采样) (9) 图6 使用ADS1258测试安装图 (10) 图7 测量时域数据 (10) 图8 使用ADS1278的测试安装图 (11) 图9 模拟2mV心脏测试输入下的ADS1278特性 (12) 1 简介 心电图(ECG)系统的前端模拟(AFE)器件通常使用各种由不同的半导体厂商或者用户定制的专用集成电路(ASICs)制作的标准分立元件设计实现的。在器件全面生产时专用集成电路的设计动辄上百万美元,因此这对于那些中小型厂商来说或许并不现实。传统的心电图前端模拟电路使用的主要元件包括:仪用放大器,

用运算放大器实现的有源滤波器以及AD转化器件等。近年来的科技进步已经使AD器件在速度、分辨率和供电方面有了提升,这在以往几乎是不可能实现的。同时,对于低成本、低功耗的心电图机的需求呈现递增趋势,这就要求工程师或者设计者使系统更加的廉价。本文分别讨论了如何使用TI公司最近生产的高性能Δ-∑AD器件作为心电图系统前端模拟电路,以及如何从系统设计的角度节省成本。 2 心电图信号特点以及系统设计提示 无论任何系统的设计,第一步都是要全面的理解系统需要处理的信号。自然,这一步对于心电图系统前端模拟电路的实现也是必不可少的。图1显示了一种被广泛接受的心电图信号的细节,并且把它当做是出现在心电图测量系统的输入级信号。它包括了三个部分:实际的(差分)心电图信号,差分的电极失调信号和其他共模信号。 图1 心电图信号特点 实际上,出现在任何导联电极间的差分心电图信号的幅度都限定在±5 mV 大小,频率在0.05~150HZ之间。这个实际的心电图信号的大小和需要的心电图信号的分辨率大小共同决定了心电图前端模拟电路的动态需求范围。这个信号的

《超大规模集成电路设计》考试习题(含答案)完整版分析

1.集成电路的发展过程经历了哪些发展阶段?划分集成电路的标准是什么? 集成电路的发展过程: ?小规模集成电路(Small Scale IC,SSI) ?中规模集成电路(Medium Scale IC,MSI) ?大规模集成电路(Large Scale IC,LSI) ?超大规模集成电路(Very Large Scale IC,VLSI) ?特大规模集成电路(Ultra Large Scale IC,ULSI) ?巨大规模集成电路(Gigantic Scale IC,GSI) 划分集成电路规模的标准 2.超大规模集成电路有哪些优点? 1. 降低生产成本 VLSI减少了体积和重量等,可靠性成万倍提高,功耗成万倍减少. 2.提高工作速度 VLSI内部连线很短,缩短了延迟时间.加工的技术越来越精细.电路工作速度的提高,主要是依靠减少尺寸获得. 3. 降低功耗 芯片内部电路尺寸小,连线短,分布电容小,驱动电路所需的功率下降. 4. 简化逻辑电路 芯片内部电路受干扰小,电路可简化. 5.优越的可靠性 采用VLSI后,元件数目和外部的接触点都大为减少,可靠性得到很大提高。 6.体积小重量轻 7.缩短电子产品的设计和组装周期 一片VLSI组件可以代替大量的元器件,组装工作极大的节省,生产线被压缩,加快了生产速度. 3.简述双阱CMOS工艺制作CMOS反相器的工艺流程过程。 1、形成N阱 2、形成P阱 3、推阱 4、形成场隔离区 5、形成多晶硅栅 6、形成硅化物 7、形成N管源漏区 8、形成P管源漏区 9、形成接触孔10、形成第一层金属11、形成第一层金属12、形成穿通接触孔13、形成第二层金属14、合金15、形成钝化层16、测试、封装,完成集成电路的制造工艺 4.在VLSI设计中,对互连线的要求和可能的互连线材料是什么? 互连线的要求 低电阻值:产生的电压降最小;信号传输延时最小(RC时间常数最小化) 与器件之间的接触电阻低 长期可靠工作 可能的互连线材料 金属(低电阻率),多晶硅(中等电阻率),高掺杂区的硅(注入或扩散)(中等电阻率)

集成电路设计方法的发展历史

集成电路设计方法的发展历史 、发展现状、及未来主流设 计方法报告 集成电路是一种微型电子器件或部件,为杰克·基尔比发明,它采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。它不仅在工、民用电子设备如收录机、电视机、计算机等方面得到广泛的应用,同时在军事、通讯、遥控等方面也得到广泛的应用。用集成电路来装配电子设备,其装配密度比晶体管可提高几十倍至几千倍,设备的稳定工作时间也可大大提高。 一、集成电路的发展历史: 1947年:贝尔实验室肖克莱等人发明了晶体管,这是微电子技术发展中第一个里程碑; 1950年:结型晶体管诞生; 1950年: R Ohl和肖特莱发明了离子注入工艺; 1951

年:场效应晶体管发明; 1956年:C S Fuller发明了扩散工艺; 1958年:仙童公司Robert Noyce与德仪公司基尔比间隔数月分别发明了集成电路,开创了世界微电子学的历史; 1960年:H H Loor和E Castellani发明了光刻工艺;1962年:美国RCA公司研制出MOS场效应晶体管; 1963年:和首次提出CMOS技术,今天,95%以上的集成电路芯片都是基于CMOS工艺; 1964年:Intel摩尔提出摩尔定律,预测晶体管集成度将会每18个月增加1倍; 1966年:美国RCA公司研制出CMOS集成电路,并研制出第一块门阵列; 1967年:应用材料公司成立,现已成为全球最大的半导体设备制造公司; 1971年:Intel推出1kb动态随机存储器,标志着大规模集成电路出现; 1971年:全球第一个微处理器4004Intel公司推出,采用的是MOS工艺,这是一个里程碑式的发明; 1974年:RCA公司推出第一个CMOS微处理器1802; 1976年:16kb DRAM和4kb SRAM问世; 1978年:64kb动态随机存储器诞生,不足平方厘米的硅片上集成了14万个晶体管,标志着超大规模集成电路时

中南大学大规模集成电路考试及答案合集

中南大学大规模集成电路考试及答案合集

————————————————————————————————作者:————————————————————————————————日期:

---○---○ --- 学 院 专业班级 学 号 姓 名 ………… 评卷密封线 ……………… 密封线内不要答题,密封线外不准填写考生信息,违者考试成绩按0分处理 ……………… 评卷密封 中南大学考试试卷 时间110分钟 题 号 一 二 三 合 计 得 分 评卷人 2013 ~2014 学年一学期大规模集成电路设计课程试题 32 学时,开卷,总分100分,占总评成绩70 % 一、填空题(本题40分,每个空格1分) 1. 所谓集成电路,是指采用 ,把一个电路中 所需的二极管、 、电阻、电容和电感等元件连同它们之间的电气连线在一块或几块很小的 或介质基片上一同制作出来,形成完整电路,然后 在一个管壳内,成为具有特定电路功能的微型结构。 2. 请写出以下与集成电路相关的专业术语缩写的英文全称: ASIC : ASSP : LSI : 3. 同时减小 、 与 ,可在保持漏源间电流不变的前提下减小器件面积,提高电路集成度。因此,缩短MOSFET 尺寸是VLSI 发展的趋势。 4. 大规模集成电路的设计流程包括:需求分析、 设计、体系结构设计、功能设计、 设计、可测性设计、 设计等。 5. 需求规格详细描述系统顾客或用户所关心的内容,包括 及必须满足的 。系统规格定义系统边界及系统与环境相互作用的信息,在这个规格中,系统以 的方式体现出来。 6. 根据硬件化的目的(高性能化、小型化、低功耗化、降低成本、知识产权保护等)、系统规模/性能、 、 、 等确定实现方法。 7. 体系结构设计的三要素为: 、 、 。 8. 高位综合是指从 描述自动生成 描述的过程。与人工设计相比,高位综合不仅可以尽可能地缩短 ,而且可以生成在面积、性能、功耗等方面表现出色的电路。 9. 逻辑综合就是将 变换为 ,根据 或 进行最优化,并进行特定工艺单元库 的过程。 10. 逻辑综合在推断RTL 部品时,将值的变化通过时钟触发的信号推断为 , 得 分 评卷人

集成电路设计方法--复习提纲

集成电路设计方法--复习提纲 2、实际约束:设计最优化约束:建立时钟,输入延时,输出延时,最大面积 设计规则约束:最大扇出,最大电容 39.静态时序分析路径的定义 静态时序分析通过检查所有可能路径上的时序冲突来验证芯片设计的时序正确性。时序路径的起点是一个时序逻辑单元的时钟端,或者是整个电路的输入端口,时序路径的终点是下一个时序逻辑单元的数据输入端,或者是整个电路的输出端口。 40.什么叫原码、反码、补码? 原码:X为正数时,原码和X一样;X为负数时,原码是在X的符号位上写“1”反码:X为正数是,反码和原码一样;X为负数时,反码为原码各位取反 补码:X为正数时,补码和原码一样;X为负数时,补码在反码的末位加“1” 41.为什么说扩展补码的符号位不影响其值? SSSS SXXX = 1111 S XXX + 1 —— 2n2n12n1例如1XXX=11XXX,即为XXX-23=XXX+23-24. 乘法器主要解决什么问题? 1.提高运算速度2.符号位的处理 43.时钟网络有哪几类?各自优缺点? 1. H树型的时钟

网络: 优点:如果时钟负载在整个芯片内部都很均衡,那么H 树型时钟网络就没有系统时钟偏斜。缺点:不同分支上的叶节点之间可能会出现较大的随机偏差、漂移和抖动。 2. 网格型的时钟网络 优点:网格中任意两个相近节点之间的电阻很小,所以时钟偏差也很小。缺点:消耗大量的金属资源,产生很大的状态转换电容,所以功耗较大。 3.混合型时钟分布网络优点:可以提供更小的时钟偏斜,同时,受负载的影响比较小。缺点:网格的规模较大,对它的建模、自动生成可能会存在一些困难。 总线的传输机制? 1. 早期:脉冲式机制和握手式机制。 脉冲式机制:master发起一个请求之后,slave在规定的t时间内返回数据。 握手式机制:master发出一个请求之后,slave在返回数据的时候伴随着一个确认信号。这样子不管外设能不能在规定的t时间内返回数据,master都能得到想要的数据。 2. 随着CPU频率的提高,总线引入了wait的概念 如果slave能在t时间内返回数据,那么这时候不能把wait信号拉高,如果slave不能在t时间内返回数据,那么必须在t时间内将wait信号拉高,直到slave将可以返回

从模拟的角度看待集成电路国内外差距

从模拟的角度看待集成电路国内外差距 最近许多高校陆续公布了自己的校线以及院线,许多报考微电子的同学在模拟电路和数字电路之间徘徊,不知该如何选择。 飞姐邀请到了深受同学们喜欢的大宝哥,让他结合自己的经验,从国内外的技术角度向大家讲一讲模拟电路以及国内外的技术差距。 从模拟的角度看待集成电路国内外差距 2018年全球半导体产业的产值达到4700亿美金,其中中国就进口了大概2/3。即使减去出口,贸易逆差也已经连续六年(进口半导体)超过2000亿美金。 从这个数据可以看到,虽然近年来国家大力加强半导体的投入,中国芯片自给自足的美好愿望仍然任重而道远。 通信设备领域方面,因为海思和紫光展锐两个大公司的存在使得占有率超过10%之外,其它领域的自给率都非常的低,甚至接近0%。面对这样一个个触目惊心的数字,我们不禁要问——中国半导体行业与国外的差距到底在哪里?还有哪些方面受制于人? 下面就我比较了解的模拟电路行业,聊聊我自己的一些小小看法。 模拟电路是指用来对连续变化信号进行传输、变换、处理、放大和测量等工作的电路。模拟电路的全球市场约为550亿美金,和整个半导体行业一样也是赢者通吃的领域,排名前10的大公司占了世界59%的市场份额。 相比于其他半导体行业,模拟电路对技术积累、人才素质和产品种类有着更高的要求,接下来就来看看大陆模拟半导体厂商在这三方面正在面对哪些制约和挑战。 高端技术受制于人 以模拟电路金字塔顶端的明珠ADC(模拟信号到数字信号的转换器)为例,全球市场长期被四家美国企业所占据——ADI、TI、MAXIM和MICROCHIP,并且占据的比例高达90%以上。 由于高速高精度ADC是未来400G光通信、5G基站、汽车无人驾驶等热门应用领域的核

集成电路设计流程

集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 正向设计与反向设计 State Key Lab of ASIC & Systems, Fudan University 自顶向下和自底向上设计 State Key Lab of ASIC & Systems, Fudan University Top-Down设计 –Top-Down流程在EDA工具支持下逐步成为 IC主要的设计方法 –从确定电路系统的性能指标开始,自系 统级、寄存器传输级、逻辑级直到物理 级逐级细化并逐级验证其功能和性能 State Key Lab of ASIC & Systems, Fudan University Top-Down设计关键技术 . 需要开发系统级模型及建立模型库,这些行 为模型与实现工艺无关,仅用于系统级和RTL 级模拟。 . 系统级功能验证技术。验证系统功能时不必 考虑电路的实现结构和实现方法,这是对付 设计复杂性日益增加的重要技术,目前系统 级DSP模拟商品化软件有Comdisco,Cossap等, 它们的通讯库、滤波器库等都是系统级模型 库成功的例子。 . 逻辑综合--是行为设计自动转换到逻辑结构 设计的重要步骤 State Key Lab of ASIC & Systems, Fudan University

模拟集成电路设计经典教材

1、 CMOS analog circuit design by P.E.ALLEN 评定:理论性90 实用性70 编写 100 精彩内容:运放的设计流程、比较器、 开关电容 这本书在国内非常流行,中文版也 翻译的很好,是很多人的入门教材。 建议大家读影印版,因为ic 领域 的绝大部分文献是以英文写成的。 如果你只能读中文版,你的学习资料 将非常有限。笔者对这本书的评价 并不高,认为该书理论有余,实用性 不足,在内容的安排上也有不妥的地 方,比如没有安排专门的章节讲述反 馈,在小信号的计算方面也没有巧方法。本书最精彩的部分应该就是运放的设计流程了。这是领域里非常重要的问题,像Allen 教授这样将设计流程一步一步表述出来在其他书里是没有的。这正体现了Allen 教授的治学风格:苛求理论的完整性系统性。但是,作为一项工程技术,最关键的是要解决问题,是能够拿出一套实用的经济的保险的方案。所以,读者会发现,看完最后一章关于ADC/DAC 的内容,似乎是面面俱到,几种结构的ADC 都提到了,但是当读者想要根据需求选择并设计一种ADC/DAC 时,却无从下手。书中关于比较器的内容也很精彩,也体现了Allen 教授求全的风格。不过,正好其它教科书里对比较器的系统讲述较少,该书正好弥补了这一缺陷。Allen 教授是开关电容电路和滤波器电路的专家。书中的相关章节很适合作为开关电容电路的入门教材。该书的排版、图表等书籍编写方面的工作也做的很好。像Allen 这样的理论派教授不管在那所大学里,大概都会很快的获得晋升吧。另外,Allen 教授的学生Rincon Moca 教授写的关于LDO 的书非常详尽,值得一读。 2、 CMOS Circuit Design Layout and Simulation CMOS Mixed-Signal Circuit Design by R.J.Baker 评定:理论性80 实用性100 编写80 精彩内容:数据转换器的建模和测量、hspice 网表这本书的风格和Allen 的书刚好相反: 理论的系统性不强,但是极为实用,甚至给出 大量的电路仿真网表和hspice 仿真图线。 这本书的中文版翻译的也很好。最近出了第二 版,翻译人员换了,不知道翻译的水平如何。 不过,第二版好贵啊~~ Baker 教授在工业界 的实战经验丰富,曾经参加过多年的军方项目 的研发,接收器,锁相环,数据转换器,DRAM 等曾设计过。所以,书中的内容几乎了包含 了数字、模拟的所有重要电路,Baker 教授

集成电路的设计方法探讨

集成电路的设计方法探讨 摘要:21世纪,信息化社会到来,时代的进步和发展离不开电子产品的不断进步,微电子技术对于各行各业的发展起到了极大的推进作用。集成电路(integratedcircuit,IC)是一种重要的微型电子器件,在包括数码产品、互联网、交通等领域都有广泛的应用。介绍集成电路的发展背景和研究方向,并基于此初步探讨集成电路的设计方法。 关键词集成电路设计方法 1集成电路的基本概念 集成电路是将各种微电子原件如晶体管、二极管等组装在半导体晶体或介质基片上,然后封装在一个管壳内,使之具备特定的电路功能。集成电路的组成分类:划分集成电路种类的方法有很多,目前最常规的分类方法是依据电路的种类,分成模拟集成电路、数字集成电路和混合信号集成电路。模拟信号有收音机的音频信号,模拟集成电路就是产生、放大并处理这类信号。与之相类似的,数字集成电路就是产生、放大和处理各种数字信号,例如DVD重放的音视频信号。此外,集成电路还可以按导电类型(双极型集成电路和单极型集成电路)分类;按照应用领域(标准通用集成电路和专用集成电路)分类。集成电路的功能作用:集成电路具有微型化、低能耗、寿命长等特点。主要优势在于:集成电路的体积和质量小;将各种元器件集中在一起不仅减少了外界电信号的干扰,而且提高了运行

速度和产品性能;应用方便,现在已经有各种功能的集成电路。基于这些优异的特性,集成电路已经广泛运用在智能手机、电视机、电脑等数码产品,还有军事、通讯、模拟系统等众多领域。 2集成电路的发展 集成电路的起源及发展历史:众所周知,微电子技术的开端在1947年晶体管的发明,11年后,世界上第一块集成电路在美国德州仪器公司组装完成,自此之后相关的技术(如结型晶体管、场效应管、注入工艺)不断发展,逐渐形成集成电路产业。美国在这一领域一直处于世界领先地位,代表公司有英特尔公司、仙童公司、德州仪器等大家耳熟能详的企业。集成电路的发展进程:我国集成电路产业诞生于六十年代,当时主要是以计算机和军工配套为目标,发展国防力量。在上世纪90年代,我国就开始大力发展集成电路产业,但由于起步晚、国外的技术垄断以及相关配套产业也比较落后,“中国芯”始终未能达到世界先进水平。现阶段我国工业生产所需的集成电路主要还是依靠进口,从2015年起我国集成电路进口额已经连续三年比原油还多,2017年的集成电路进口额超过7200亿元。因此,在2018年政府工作报告中把推动集成电路产业发展放在了五大突出产业中的首位,并且按照国家十三五规划,我国集成电路产业产值到2020年将会达到一万亿元。中国比较大型的集成电路设计制造公司有台积电、海思、中兴等,目前已在一些技术领域取得了不错的成就。集成电路的发展方向:提到集成电路的发展,就必须要说到摩尔定律:集成度每18个月翻一番。而现今正处在

模拟集成电路设计期末试卷..

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

模拟集成电路设计的九个层次

[转贴] 模拟集成电路设计的九个层次来源: 一篇好文章, 摘录于此,以示激励. 一段 你刚开始进入这行,对PMOS/NMOS/BJT什么的只不过有个大概的了解,各种器件的特性你也不太清楚,具体设计成什么样的电路你也没什么主意,你的电路图主要看国内杂志上的文章,或者按照教科书上现成的电路,你总觉得他们说得都有道理。你做的电路主要是小规模的模块,做点差分运放,或者带隙基准的仿真什么的你就计算着发文章,生怕到时候论文凑不够。总的来说,基本上看见运放还是发怵。你觉得spice 是一个非常难以使用而且古怪的东西。 二段 你开始知道什么叫电路设计,天天捧着本教科书在草稿纸上狂算一气。你也经常开始提起一些技术参数,Vdsat、lamda、early voltage、GWB、ft之类的。总觉得有时候电路和手算得差不多,有时候又觉得差别挺大。你也开始关心电压,温度和工艺的变化。例如低电压、低功耗系统什么的。或者是超高速高精度的什么东东,时不时也来上两句。你设计电路时开始计划着要去tape out,虽然tape out看起来还是挺遥远的。这个阶段中,你觉得spice很强大,但经常会因为AC仿真结果不对而大伤脑筋。 三段 你已经和PVT斗争了一段时间了,但总的来说基本上还是没有几次成功的设计经验。你觉得要设计出真正能用的电路真的很难,你急着想建立自己的信心,可你不知道该怎么办。你开始阅读一些JSSC或者博士论文什么的,可你觉得他们说的是一回事,真正的芯片或者又不是那么回事。你觉得Vdsat什么的指标实在不够精确,仿真器的缺省设置也不够满足你的要求,于是你试着仿真器调整参数,或者试着换一换仿真器,但是可它们给出的结果仍然是有时准有时不准。你上论坛,希望得到高手的指导。可他们也是语焉不详,说得东西有时对有时不对。这个阶段中,你觉得spice虽然很好,但是帮助手册写的太不清楚了。 四段 你有过比较重大的流片失败经历了。你知道要做好一个电路,需要精益求精,需要战战兢兢的仔细检查每一个细节。你发现在设计过程中有很多不曾设想过的问题,想要做好电路需要完整的把握每一个方面。于是你开始系统地重新学习在大学毕业时已经卖掉的课本。你把能能找到的相关资料都仔细的看了一边,希望能从中找到一些更有启发性的想法。你已经清楚地知道了你需要达到的电路指标和性能,你也知道了电路设计本质上是需要做很多合理的折中。可你搞不清这个“合理”是怎么确定的,不同指标之间的折中如何选择才好。你觉得要设计出一个适当的能够正常工作的电路真的太难了,你不相信在这个世界上有人可以做到他们宣称的那么好,因为聪明如你都觉得面对如此纷杂的选择束手无策,他们怎么可能做得到?这个阶段中,你觉得spice功能还是太有限了,而且经常对着"time step too small"的出错信息发呆,偶尔情况下你还会创造出巨大的仿真文件让所有人和电脑崩溃。 五段 你觉得很多竞争对手的东西不过如此而已。你开始有一套比较熟悉的设计方法。但是你不知道如何更加优化你手头的工具。你已经使用过一些别人编好的脚本语言,但经常碰到很多问题的时候不能想起来用awk 或者perl搞定。你开始大量的占用服务器的仿真时间,你相信经过大量的仿真,你可以清楚地把你设计的模块调整到合适的样子。有时候你觉得做电路设计简直是太无聊了,实在不行的话,你在考虑是不是该放弃了。这个阶段中,你觉得spice好是好,但是比起fast spice系列的仿真器来,还是差远了;你开始不相信AC仿真,取而代之的是大量的transient仿真。 六段 你开始明白在这个世界中只有最合适的设计,没有最好的设计。你开始有一套真正属于自己的设计方法,你会倾向于某一种或两种仿真工具,并能够熟练的使用他们评价你的设计。你开始在设计中考虑PVT的变化,你知道一个电路从开始到现在的演化过程,并能够针对不同的应用对他们进行裁减。你开始关注功耗

模拟集成电路设计软件使用教程

模拟集成电路设计软件实验教程 月4年2006

1 目录 实验一自上而下(Top-Down)的电路设计 (3) Lab 1.1 启动软件 (3) Lab 1.2 自上而下的系统级仿真 (3) Lab 1.3 电路图输入 (7) Lab 1.4 模块的创建 (10) Lab 1.5 电源的创建 (12) Lab 1.6 建立运放测试电路 (14) 实验二使用Spectre Direct进行模拟仿真 (17) Lab 2.1 运行仿真 (17) Lab 2.2 使用激励模板 (28) Lab 2.3 波形窗的使用 (32) Lab 2.4 保存仿真状态 (36) Lab 2.5 将仿真结果注释在电路图窗口 (37) 2 实验一自上而下(Top-Down)的电路设计Lab 1.1 启动软件 实验目的: 掌握如何启动模拟电路设计环境.

实验步骤: 1.进入Linux界面后,点击鼠标右键,选中New Terminal,则会弹出一个交互终端. 2.进入教程所在目录后,输入命令cd Artist446 (注意:cd后必须有空格;命令行大小写敏感) 3.在同一个交互终端内,输入命令icms &,在屏幕底部会出现一个命令交互窗(Command Interpreter Window,CIW).如果出现What's New窗口,可使用File-Close命令关闭. Lab 1.2 自上而下的系统级仿真 实验目的: 掌握如何对含AHDL模块的模块级设计进行仿真. 实验步骤: 1.在CIW中选择Tool-Library Manager,会弹出库管理器(Library Manager). 2.在库管理器中,用鼠标左键选中training,则cell中会显示出training库中所有的cell;在training 的所有cell中用左键选中peakTestv;用鼠标中键(或右键)打开(open)view中的schematic.将会出现如下图所示的测试电路: 3 点击左当该模块四周出现一高亮黄色虚线框时,将鼠标置于图中peakDetectv模块上,3. . ,则模块四周线框变为白色实线框键选中该模块EditDesign-Hierarchy-Descend 设置Name将View ,,弹出Descend对话框4.选择: peakDetectv模块的电路图OK.为schematic,然后点击则出现

超大规模集成电路发展趋势

超大规模集成电路的设计发展趋势;摘要:随着信息产品市场需求的增长,尤其通过通信、;关键字:超大规模集成电路发展趋势SOCIP复用技;1引言;集成电路是采用半导体制作工艺,在一块较小的单晶硅;2超大规模集成电路发展的概述;集成电路之所以获得如此迅速的发展,与数据处理系统;1.改进性能;在计算机中采用高密度的半导体集成电路是减少信号传;2.降低成本;用Lsl替换 超大规模集成电路的设计发展趋势 摘要:随着信息产品市场需求的增长,尤其通过通信、计算机与互联网、电子商务、数字视听等电子产品的需求增长,世界集成电路市场在其带动下高速增长。本文主要从半导体电子学与计算技术工程方面进行进行的诸多研究成果以及国际集成电路的发展现状和发展趋势反映其在国际上的重要地位。 关键字:超大规模集成电路发展趋势 SOC IP复用技术 1 引言 集成电路是采用半导体制作工艺,在一块较小的单晶硅片上制作许多晶体管及电阻器、电容器等元器件,并按照多层布线或隧道布线的方法将元器件组合成完整的电子电路,通常用IC(Integrated Circuit)表示。近廿多年来,半导体电子学的发展速度是十分惊人的。从分离元件发展为集成电路,从小规模集成电路发展为现代的超大规模集成电路。集成电路的性能差不多提高了3个数量级,而其成本却下降了同样的数量级。 2 超大规模集成电路发展的概述 集成电路之所以获得如此迅速的发展,与数据处理系统日益增长的各种要求是分不开的,也是半导体电子学与计算技术工程方面进行了许多研究工作的结果。这些工作可以概括为:(l)改进性能一尽可能减少信号处理的传递时间。(2)降低成本一从设计、制造、组装、冷却等各方而降低成本。(3)提高可靠性一减少失效率,增加检测与诊断的手段。(4)缩短研制/生产周期一加快从确定研制产品到产品可用之间的时间,使产品保持领先地位。(5)结构上的改进一半导体存储器的进展,推动了计算机体系的发展。 1.改进性能 在计算机中采用高密度的半导体集成电路是减少信号传递时间,提高机器性能的重要环节。因为在普通采用小规模集成电路(551)或中规模集成电路(MSI)的硬件结构中,信号传输与负载引起的延迟,与插件上的门的有效组装密度的平方根成正比,如图(1.1.1)。也就是说,组装延迟与每个门所需的有效面积的平方根成正比。因此将组装延迟减少一半的话,必须提高组装密度4倍。从 ssl/Msl发展为LSI/VLsl标志着芯片上元件的集成度得到了很大的提高。目

大规模集成电路一二章作业

郭小明2011060100010 大规模集成电路一二章作业 第一章作业 1、集成电路是哪一年有谁发明的? 答:1958年的Texas Instruments(美国德州仪器)公司的Jack Kilby 发明的,基于锗材料采用单管互连方法制作了一个简单的振荡器,可以使认为第一块雏形集成电路,1959年申请小型化电子电路的专利,并于2000年获得诺贝尔物理学奖。 2、诺伊斯对集成电路的主要贡献是什么? 答: 1959年提出的发明平面工艺技术和PN结隔离技术奠定了半导体集成电路的基础,美国仙童公司的Robert Noyce结合其同事Jean Hoerni发明的刻蚀氧化工艺,在电路上淀积金属薄层进行电路连接,使得复杂集成电路成为可能,并在1959年突出平面型晶体管之后,1961年推出用平面工艺制造出的第一块双极型集成电路,从此旋开了集成电路的新篇章。 1968年7月,Robert Noyce和Gordon Moore,离开Fairchild公司,建立Intel。 2000年,Jack Kilby,Robert Noyce获得Nobel物理奖。 3、MOS场效应管是哪年出现的? 1960年Jhon Atalla和Dawon Kahng发明了MOS场效应晶体管,1962年美国的RCA 公司研制出MOS场效应晶体管,并于1963年研制出第一块MOS集成电路。 4、集成电路的发展规律是由谁总结提出来的,具体规律是什么 摩尔定律是由英特尔(Intel)创始人之一戈登·摩尔(Gordon Moore)提出来的。其内容为:当价格不变时,集成电路上可容纳的晶体管数目,约每隔18个月便会增加一倍,性能也将提升一倍。换言之,每一美元所能买到的电脑性能,将每隔18个月翻两倍以上。这一定律揭示了信息技术进步的速度。 5、叙述集成电路的层次设计步骤 层次化设计是大规模集成电路设计中最广泛使用的方法,可以简化设计的复杂性。层次化设计分为自顶向下和自底向上两种方法。层次设计奖设计目标分为不同的层次级别,针对设计对象的不同,划分为不同的设计区域,如器件(版图级)、电路级、门级、模块(寄存器级)、系统级。设计域的划分时针对不同的设计描述方式确定的,相当于抽象设计表示方法,整个层次分为行为域、结构域和几何域。 对于一个复杂的数字IC来说,自顶向下的设计方法,可以分成如下几个步骤完成(1)系统描述(行为级设计):讲用户需求转换为胸膛呢设计说明的过程,给出电路系统的具体要求,如速度、功耗、可靠性、采用的工艺、开发费用和开发周期等,作为电路系统设计过程的约束条件。

超大规模集成电路设计导论考试题及答案

1、MOS集成电路的加工包括哪些基本工艺?各有哪些方法和工序? 答:(1)热氧化工艺:包括干氧化法和湿氧化法; (2)扩散工艺:包括扩散法和离子注入法; (3)淀积工艺:化学淀积方法:1 外延生长法;2 热CVD法;3 等离子CVD法; 物理淀积方法:1 溅射法;2 真空蒸发法 (4)光刻工艺:工序包括:1 涂光刻胶;2 预烘干;3 掩膜对准;4 曝光;5 显影; 6 后烘干; 7 腐蚀; 8 去胶。 2、简述光刻工艺过程及作用。 答:(1)涂光刻胶:为了增加光刻胶和硅片之间的粘附性,防止显影时光刻胶的脱落,以及防止湿法腐蚀产生侧向腐蚀; (2)预烘干:以便除去光刻胶中的溶剂; (3)掩膜对准:以保证掩模板上的图形与硅片上已加工的各层图形套准; (4)曝光:使光刻胶获得与掩模图形相同的感光图片; (5)显影:将曝光后的硅片浸泡在显影液中,使正光刻胶的曝光部分和负光刻胶的未曝光部分被溶解掉; (6)后烘干:使残留在光刻胶中的有机溶剂完全挥发掉,提高光刻胶和硅片的粘接性及光刻胶的耐腐蚀性; (7)腐蚀:以复制在光刻胶上图形作为掩膜,对下层材料进行腐蚀,将图形复制到下层材料中; (8)去胶:除去光刻胶。 3、说明MOS晶体管的工作原理 答:MOS晶体管有四种工作状态: (1)截止状态:即源漏之间不加电压时,沟道各电场强度相等,沟道厚度均匀,S、D之间没有电流I ds=0; (2)线性工作状态:漏源之间加电压Vds时,漏端接正,源端接负,沟道厚度不再均匀,在D端电位升为V d,栅漏极电位差为

Vgs-Vtn,电场强度变弱,反型层变薄,并在沟道上产生由D到S的电场E ds,使得多数载 流子由S端流向D端形成电流I ds,它与V ds变化呈线性关 系:I ds=βn[(V gs-V tn)-V ds/2]V ds (3)饱和工作状态:Vs继续增大到V gs-V tn时,D端栅极与衬底不足以形成反型层,出现沟道夹断,电子运动到夹断点V gs-V ds=V tn时,便进入耗尽区,在漂移作用下, 电子被漏极高电位吸引过去,便形成饱和电流,沟道夹断后,(V gs-V tn)不变,I ds也不 变,即MOS工作进入饱和状态,I ds=V gs-V tn/R c (4)击穿状态:当Vds增加到一定极限时,由于电压过高,晶体管D端得PN结发生雪崩击穿,电流急剧增加,晶体管不能正常工作。 4、MOS反相器有哪些种类?说明每种反相器的特性。 答:(1)电阻负载反相器(E/R):该电路在集成电路中很少用,在分离原件中常用; (2)增强型负载反相器(E/E):这种反相器的漏端始终处于夹断状态; (3)耗尽型负载反相器(E/D):有较高的输出电平和较快的上升速度,其翻转时间短,电路工作速度快,是目前最常用的反相器;(4)CMOS反相器:1 静态功耗低;2 抗干扰能力强;3 电源利用率低;4 输入阻抗多,负载能力强。 5、简述Latch-up效应的产生原理及防治办法 答:产生原理:用CMOS晶体管的说明闸流效应 (1)在P阱内有一个纵向的NPN管,在P阱外有一个横向的NPN管,两个晶体管的集电极各驱动另一个晶体管的基极,构成正反馈回路; (2)P阱中纵向NPN管的电流放大倍数约为50到几百,P阱外的横向PNP管的电流放大倍数约为0.5到10; (3)R w和R s为基极的寄生电阻,阱电阻Rw的典型值为1K--10K欧姆,衬底电阻R s的典型值为500--700欧姆。 如果两个晶体管的电流放大倍数和基极寄生电阻Rw、Rs值太大,在外部噪声的影响下,很容易使输出端V o瞬间置于V ss之下约为0.7V,使得N+漏区(也有可能是N+]源区)向P

相关文档
相关文档 最新文档