文档库 最新最全的文档下载
当前位置:文档库 › 武汉大学 电气工程学院 数字电路 期末 实验报告

武汉大学 电气工程学院 数字电路 期末 实验报告

武汉大学 电气工程学院 数字电路 期末 实验报告
武汉大学 电气工程学院 数字电路 期末 实验报告

数字电路实验报告

姓名:

班级:1001

学号:2010302540001

实验一:组合逻辑电路分析...................................................................................................... - 1 - 一.实验用集成电路引脚图.............................................................................................. - 1 - 二.实验内容...................................................................................................................... - 1 - 实验心得.............................................................................................................................. - 3 - 实验二组合逻辑实验(一).................................................................................................. - 4 - 一.实验目的...................................................................................................................... - 4 - 二.预习内容...................................................................................................................... - 4 - 三.参考元件...................................................................................................................... - 4 - 四.实验内容...................................................................................................................... - 4 - 实验心得.............................................................................................................................. - 7 - 实验三:组合逻辑实验(二).................................................................................................. - 8 - 一.实验目的...................................................................................................................... - 8 - 二.预习内容...................................................................................................................... - 8 - 三.参考元件...................................................................................................................... - 8 - 四.实验内容...................................................................................................................... - 9 - 实验心得............................................................................................................................ - 12 - 实验四:触发器和计数器........................................................................................................ - 13 - 一.实验目的.................................................................................................................... - 13 - 二.预习内容.................................................................................................................... - 13 - 三.参考原件.................................................................................................................... - 13 - 四.实验内容.................................................................................................................... - 13 - 实验心得............................................................................................................................ - 16 - 实验五:555集成定时器 ........................................................................................................ - 17 - 一.实验目的.................................................................................................................... - 17 - 二.预习内容.................................................................................................................... - 17 - 三.参考原件.................................................................................................................... - 17 - 四.实验内容.................................................................................................................... - 17 - 实验心得............................................................................................................................ - 20 - 实验六:数字秒表 ................................................................................................................... - 21 - 一.实验目的.................................................................................................................... - 21 - 二.预习内容.................................................................................................................... - 21 - 三.参考元件.................................................................................................................... - 21 - 四.设计内容及要求........................................................................................................ - 21 - 五.原理框图.................................................................................................................... - 21 - 六.实验报告要求............................................................................................................ - 21 - 实验心得............................................................................................................................ - 24 -

实验一:组合逻辑电路分析一.实验用集成电路引脚图

1.74LS00集成电路

2.74LS20集成电路

二.实验内容

(1)实验内容一:

a.实验电路图:

U2A 74LS00N

U2B

74LS00N

U2C

74LS00N

X2

2.5 V

XLC1

A B

b 、逻辑电路真值表:

上图的逻辑关系如表1-1。

表1-1

(2)实验内容二:密码锁

密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,接通警铃,分析密码ABCD 是什么?

a.实验电路图:

U4A

74LS00D

U4B

74LS00D

U4C

74LS00D

U4D

74LS00D

U5A

74LS00D

U5B

74LS00D

U5C

74LS00D

U6A

74LS20D

VCC

5V

X3

2.5 V

X4

2.5 V

XLC1

A B

b.真值表:

分析该密码锁电路的逻辑功能可知:灯泡X1端的输出方程为L=AB ’C ’D 接着通过实验,改变A B C D 的电平,观察灯泡亮暗,得出真值表如下:

从真值表中可以看出,当ABCD=1001时,密码锁可打开。即密码为1001。

实验心得

1.本次实验对元件74LS00和74LS20的端口熟悉了很多,大致记住了哪些端口是与非门的输入端,哪些是输出端。

2.熟悉了面板,知道怎样换器件以及电源、开关的位置。

3.熟悉了基本逻辑电路的分析方法和及其逻辑功能的分析,熟悉了各类门的实物元件以及元件的使用和线路连接。

4.知道了利用单刀双掷开关的双接点,分别连接高电平和低电平,开关的掷点不同,门电路输入的电平也不同。

实验二组合逻辑实验(一)

半加器和全加器

一.实验目的

熟悉使用门电路设计组合电路的原理和方法步骤。

二.预习内容

1.复习用门电路设计组合逻辑电路的原理和方法步骤

2.复习二进制数的运算。

1)用与非门设计半加器的逻辑图

2)完成用疑异或门、与或非门、与非门设计全加器的逻辑图

3)完成用异或门设计的3变量判奇电路原理图

三.参考元件

四.实验内容

1.用与非门组成半加器,用异或门、与或非门、与非门组成全加器(电路自拟)半加器

U8

74LS00D

1A 1

1B 21Y 32A 42B 52Y 6GND 7

3Y

8

3B 103A 94Y 114B 134A 12VCC 14U9

74LS00D

1A 11B 21Y 32A 42B 52Y 6GND 7

3Y

8

3B 103A 94Y 114B 134A 12VCC 14VCC

5V

C

S

12

13

14

151617

18J9

Key = B

J10

Key = A

10

11

VCC

全加器

U1

74LS51D

1A 12A 22B 32C 42D 52Y 6GND 7

1Y

8

1F 111E 101D 91C 131B 12VCC 14U4B 74LS136D

U4A 74LS136D

VCC

5V

Co

S

U2A 74LS00D

2

1

J2

Key = B J3

Key = A J4

Key = C

6

3

4

R21kΩ

7

R11kΩVCC

5

实验结果填入下表中: 被加数i A 0 1 0 1 0 1 0 1 加数i B 0 0 1 1 0 0 1 1 前级进位

1

1

1

1

1i C

和i S 0 1 1 0 1 0 0 1 新进位i C

1

1

1

1

2.用异或门设计3变量判奇电路,要求变量中1的个数为奇数时,输出为1,否则为0.

U4B

74LS136D

U4A

74LS136D

VCC

5V

S

J2

Key = B J3

Key = A J4

Key = C

R11kΩ

R21kΩ

实验结果填入下表中: 输入A 0 1 0 1 0 1 0 1 输入B 0 0 1 1 0 0 1 1 输入C 0 0 0 0 1 1 1 1 输出L

1

1

1

1

3.“74LS283”全加器逻辑功能测试

U1

74LS283D

SUM_410SUM_313SUM_1

4

SUM_21C4

9

B411A412B315A314B22A23B16A15C0

7

GND

VCC

5V

A4

Key = Space

A3

Key = Space

A2

Key = Space

A1

Key = Space

B4

Key = Space

B3

Key = Space

B2

Key = Space

B1

Key = Space

S4

2.5 V

S3

2.5 V

S2

2.5 V

S1

2.5 V

C4

2.5 V

C0

Key = Space

测试结果填入下表中:

被加数4321A A A A 0 1 1 1 1 0 0 1 加数4321B B B B 0 0 0 1

0 1 1 1

前级进位0C 0 1 0 1 和4321S S S S 1000 1001 0000 0001 前进位4C

1

1

实验心得

1.本实验主要使用74LS00与74LS51来设计半加器与全加器以及判奇电路,在实验中熟

悉了这两个元件的使用方法。加深了我对理论课知识的理解。

2.半加器不带前级进位,全加器带前级进位。

3.设计组合逻辑电路前先列写逻辑表达式,然后根据逻辑表达式连接电路。

实验三:组合逻辑实验(二) 数据选择器和译码器的应用

一.实验目的

熟悉数据选择器和数据分配器的逻辑功能和掌握其使用方法。

二.预习内容

1)了解所有元器件的逻辑功能和管脚排列。 2)复习有关数据选择器和译码器的内容。

3)用八选一数据选择器产生逻辑函数L=ABC+ABC —

+A —

BC+A —B —

C 和L=A ○+B ○+C 4)用3线——8线译码器和与非门构成一个全加器。

三.参考元件

(1)数据选择器74LS151

U 1

74L S 151D

~W

6

D 04

D 13D 22D 31D 415D 514D 613D 7

12

A 11C

9

B 10Y

5

~G

7

图3-1 74LS151

表3-1 74LS151的功能表

输入

输出

E

C B A Y W

H X X X L

H

L L L L 0D 0D L L L H 1D 1D L L H L 2D 2D L L H H 3D 3D L H L L 4D 4D

L

H

L

H

5D

5D

L H H L 6D 6D L

H

H

H

7D

7D

输出Y 地表达式为

7

i i i Y m D ==∑

式中错误!未找到引用源。为CBA 的最小项。

将数据选择器的地址信号C 、B 、A 作为函数的输入变量,数据输入错误!未找到引用源。~错误!未找到引用源。作为控制信号,控制各最小项在输出逻辑函数中是否出现,使能端EN 始终保持低电平。这样,八选一数据选择器就成为一个三变量的函数产生器。

(2)3——8线译码器74LS138

U 1

74L S 138D

Y 015Y 114Y 213Y 312Y 411Y 510Y 69Y 7

7

A 1

B 2C

3

G 16~G 2A 4~G 2B

5

图3-2 74LS138 表3-2 74LS138功能表

输入

输出

G1 2G A 2G B

C B A 0Y

1Y

2Y

3Y

4Y 5Y 6Y 7Y

H L L L L L L H H H H H H H H L L L L H H L H H H H H H H L L L H L H H L H H H H H H L L L H H H H H L H H H H H L L H L L H H H H L H H H H L L H L H H H H H H L H H H L L H H L H H H H H H L H H

L

L

H

H

H

H

H

H

H

H

H

H

L

由功能表可知,当G1=1,错误!未找到引用源。 =0,错误!未找到引用源。 =0时,

11Y m =

式中错误!未找到引用源。为CBA 的最小项。

四.实验内容

1、数据选择器74LS151的使用:

设计产生逻辑函数ABC ABC ABC ABC +++ 则33556677L m D m D m D m D =+++

实验电路如下:

U1

74LS151D

~W

6

D04D13D22D31D415D514D613D712A 11C 9B 10Y 5~G

7

VCC

5V

GND

A

Key = Space

B

Key = Space

C

Key = Space X1

2.5 V

实验真值表如下:

A B C L 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1

1

1

1

由上述真值表即可验证确实是产生了逻辑函数ABC ABC ABC ABC +++

2、3线-8线译码器的应用

用3线-8线译码器74LS138和与非门构成一个全加器,写出逻辑表达式并设计电路图。验证实际结果。

逻辑表达式为错误!未找到引用源。 错误!未找到引用源。 设计电路图如下:

U1

74LS138D

Y015Y114Y213Y312Y411Y510Y69Y7

7

A 1

B 2

C 3G16~G2A 4~G2B

5

VCC

5V

GND

S

2.5 V J1

Key = Space

J2

Key = Space

J3

Key = Space Ci 2.5 V

U3A

74LS20D U3B

74LS20D

实验真值表如下:

A B C Ci Si 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1

1

1

1

1

由真值表即可验证确实是产生了逻辑函数 错误!未找到引用源。 错误!未找到引用源。 即为全加器的逻辑表达式。 3.扩展内容

用一片74LS151构成四变量的判奇电路。

U1

74LS151D

~W

6

D04D13D22D31D415D514D613D712A 11C 9B 10Y 5~G

7

VCC

5V

GND

A

Key = Space

B

Key = Space

C

Key = Space

D

Key = Space

U2A

74LS00D

X1

2.5 V

实验心得

1.本实验学会了使用74LS151与74LS138,以及运用这两个元件构造逻辑函数。加深了我对理论课知识的理解。

2.知道了设计函数前,先要清楚所选器件的逻辑功能。

3.学会了如何根据器件的逻辑功能写出函数的标准形式。

4.知道了如何根据函数标准形式确定器件每个端口的连接方式。

实验四:触发器和计数器

一.实验目的

1、熟悉J K 触发器的基本功能和原理。

2、了解二进制计数器74LS163的工作原理。

3、设计并验证十进制、六进制计数器。

二.预习内容

1、复习有关R-S 触发器,J-K 触发器,D 触发器的内容。

2、预习有关计数器的工作原理。

3、用J ——K 触发器组成三进制计数器,设计电路图。

4、用74LS163和与非门组成四位二进制计数器,十进制计数器,六进制计数器。设计电路图。

三.参考原件

74LS00D

1A 11B 21Y 32A 42B 52Y 6G N D 7

3Y

8

3B 103A 94Y 114B 134A 12V C C 1474LS107D

1Q 3~1Q 21K 4~1C L R 131J 11C L K 122J

8

2C L K 92K 11~2C L R 102Q 5~2Q 6G N D

7

V C C 1474LS74D 1D 21Q 5~1Q 6~1C L R 11C L K 3~1P R 4G N D

7

~2Q 8

2Q 9~2P R 102C L K 112D 12~2C L R 13V C C 1474LS163D

Q A 14Q B 13Q C 12Q D

11

R C O 15A 3B 4C 5D

6

E N P 7E N T

10~L O A D 2~C L R 1

C L K 9G N D

8

V C C 16

四.实验内容

1、R-S 触发器逻辑功能测试

用一块74LS00与非门构成R-S 触发器,用万用表测量Q 及Q —

的电位,并记录于下表中: 设计电路图如下:

U1A

74LS00D U1B

74LS00D

R

Key = Space

S

Key = Space Q非

2.5 V

Q

2.5 V

VCC

5V

GND

R S Q ~Q

0 1 0 1

1 0 1 0

1 1 1 0

0 0 1 1

或门R—S触发器的功能是:全0不变,全1不确定,互补取S。但是与非门构成的R-S 触发器是互补取R,全0不确定,全1不变。

2、用74LS163和与非门组成六进制计数器

如图所示74LS163的逻辑功能表如下:

输入输出

~CR ~LD CTP CTT CP D0 D1 D2 D3 Q0 Q1 Q2 Q3

0 ×××↑××××0 0 0 0

1 0 ××↑d0 d1 d

2 d

3 d0 d1 d2 d3 1 1 1 1 ↑××××计数

1 1 0 ×↑××××保持

1 1 ×0 ↑××××保持

U1

74LS163D

QA 14QB 13QC 12QD 11RCO

15

A 3

B 4

C 5

D 6ENP 7ENT 10~LOAD 2~CLR 1CLK

9

GND

VCC

5V

V1100 Hz 5 V

U2A

74LS00D

U3

DCD_HEX

GND

3、用74LS163组成十进制计数器 设计电路图如下:

U1

74LS163D

QA 14QB 13QC 12QD 11RCO

15

A 3

B 4

C 5

D 6ENP 7ENT 10~LOAD 2~CLR 1CLK

9

GND

GND

VCC

5V

V1100 Hz 5 V

U2A

74LS00D

U3

DCD_HEX

4、用74LS163和与非门组成六十进制计数器

U1

74LS163D

QA 14QB 13QC 12QD 11RCO

15

A 3

B 4

C 5

D 6ENP 7ENT 10~LOAD 2~CLR 1

CLK

9

GND

GND

VCC

5V

V11000 Hz 5 V U2A

74LS00D

U3

DCD_HEX

U4

74LS163D

QA 14QB 13QC 12QD 11RCO

15

A 3

B 4

C 5

D 6ENP 7ENT

10~LOAD 2~CLR 1CLK

9

GND

VCC

5V

U5A

74LS00D

U6DCD_HEX

实验心得

1.通过本实验我了解了与非门构成的R —S 触发器的功能。与非门构成的RS 触发器为低电平有效。即:R=0,S=1时,Q=0,错误!未找到引用源。=1;R=1,S=0时,Q=1,错误!未找到引用源。=0。

2.我了解了74LS163的用法,熟悉了它的功能表,并且学会了使用74LS163来设计各种位制的计时器,熟悉了它的接线,以及各个端口的作用。

3.我了解了同步置数与异步置数的区别,熟练了通过置数与清零来设计不同进制的计数器。

1)同步清零,即:当计数为N-1时,错误!未找到引用源。端输入有效低电平,计数器清零,重新计数。

2)同步置数,即:一般将置数端设计为零,当计数为N-1时,错误!未找到引用源。端输入有效低电平,计数器置数,重新计数。

实验五:555集成定时器

一.实验目的

熟悉与使用555集成定时器。

二.预习内容

复习有关555集成定时器的内容和常用电路

三.参考原件 555集成定时器

A1

555_VIR T U AL GND

DIS OUT

RST VCC

THR CON

TRI 47625

8

3

1

图5-1 555集成定时器

器件说明:555集成定时器包括一个放电三极管T ,两个电压比较器,一个基本RS 触发器以及5错误!未找到引用源。电阻组成的分压器。比较器上的参考电压从分压器电阻上取得。分别为2E/3错误!未找到引用源。和 E/3错误!未找到引用源。。高电平触发端6和低电平触发端2作为阀值端和外触发输入端,用来启动电路。复位端4为低电时,电压输出为低电平,电压控制端5可以在一定范围内调节比较器的参考电压,不用时将它与地之间接0.01错误!未找到引用源。的电容器,以防止干扰电压引入。电源电压范围+4.5错误!未找到引用源。+13V 。输出电流可高达200mA 。利用这种定时器,只需外界RC 电路,就可构成单稳电路,多谐振荡器,施密特触发器,接触开关等,应用广泛灵活。

四.实验内容

1、 555单稳态电路

1)按图连接,组成一个单稳触发器。

2)测量输出端,控制端的点位并于理论计算值比较。

3)用示波器观察输出波形以及输出电压的脉宽。tw=RCln3=1.1RC 。 实验电路图如下:

U1

LM555CM

GND 1

DIS 7OUT

3

RST 4VCC

8THR 6CON

5

TRI 2

VCC

5V

GND

GND

R1100kΩ

X1

2.5 V

C19.7μF

C20.01μF

V110kHz

5 V

XSC1

A

B

Ext Trig

+

+_

_

+_

通过示波器观察输出波形得出的是脉冲波,但是不太稳定。计算得出的tw 为

1.067s 。

2、 555多谐振荡器

1)按图接线,组成一个多谐振荡器。

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

武汉大学计算机学院 嵌入式实验报告

武汉大学计算机学院 课程实验(设计)报告 课程名称:嵌入式实验 专业、班: 08级 姓名: 学号: 学期:2010-2011第1学期 成绩(教师填写) 实 一二三四五六七八九总评验 分数 分数 (百分制)

实验一80C51单片机P1口演示实验 实验目的: (1)掌握P1口作为I/O口时的使用方法。 (2)理解读引脚和读锁存器的区别。 实验内容: 用P1.3脚的状态来控制P1.2的LED亮灭。 实验设备: (1)超想-3000TB综合实验仪 1 台 (2)超想3000仿真器 1 台 (3)连线若干根 (4)计算机1台 实验步骤: (1)编写程序实现当P1.3为低电平时,发光管亮;P1.3为高电平时,发光管灭。 (2)修改程序在执行读P1.3之前,先执行CLR P1.3,观察结果是否正确,分析在第二种情况下程序为什 么不能正确执行,理解读引脚和读锁存器区别。 实验结果: (1)当P1.3为低电平时,发光管亮;P1.3为高电平时,发光管灭。 (2)不正确。因为先执行CLR P1.3之后,当读P1.3的时候它的值就一直是0,所以发光管会一直亮而不 会灭。单片机在执行从端口的单个位输入数据的指令(例如MOV C,P1.0)时,它需要读取引脚上的数据。此时,端口锁存器必须置为‘1’,否则,输出场效应管导通,回拉低引脚上的高输出电平。 系统复位时,会把所有锁存器置‘1’,然后可以直接使用端口引脚作为输入而无需再明确设置端口锁存器。但是,如果端口锁存器被清零(如CLR P1.0),就不能再把该端口直接作为输入口使用,除非先把对应的锁存器置为‘1’(如 SETB P1.0)。 (3)而在引脚负载很大的情况(如驱动晶体管)下,在执行“读——改——写”一类的指令(如CPL P1.0) 时,需要从锁存器中读取数据,以免错误地判断引脚电平。 实验二 80C51单片机RAM存储器扩展实验 实验目的: 学习RAM6264的扩展 实验内容: 往RAM中写入一串数据,然后读出,进行比较 实验设备: (1)超想-3000TB综合实验仪 1 台 (2)超想3000仿真器 1 台

武汉大学电力系统分析实验报告

电气工程学院 《电力系统分析综合实验》2017年度PSASP实验报告 学号: 姓名: 班级:

实验目的: 通过电力系统分析的课程学习,我们都对简单电力系统的正常和故障运行状态有了大致的了解。但电力系统结构较为复杂,对电力系统极性分析计算量大,如果手工计算,将花费 大量的时间和精力,且容易发生错误。而通过使用电力系统分析程序PSASP,我们能对电 力系统潮流以及故障状态进行快速、准确的分析和计算。在实验过程中,我们能够加深对电力系统分析的了解,并学会了如何使用计算机软件等工具进行电力系统分析计算,这对我们以后的学习和工作都是有帮助的。 潮流计算部分: 本次实验潮流计算部分包括使用牛顿法对常规运行方式下的潮流进行计算,以及应用PQ分解法规划运行方式下的潮流计算。在规划潮流运行方式下,增加STNC-230母线负荷的有功至1.5.p.u,无功保持不变,计算潮流。潮流计算中,需要添加母线并输入所有母线 的数据,然后再添加发电机、负荷、交流线、变压器、支路,输入这些元件的数据。对运行方案和潮流计算作业进行定义,就可以定义的潮流计算作业进行潮流计算。 因为软件存在安装存在问题,无法使用图形支持模式,故只能使用文本支持模式,所以 无法使用PSASP绘制网络拓扑结构图,实验报告中的网络拓扑结构图均使用Visio绘制, 请见谅。 常规潮流计算: 下图是常规模式下的网络拓扑结构图,并在各节点标注电压大小以及相位。 下图为利用复数功率形式表示的各支路功率(参考方向选择数据表格中各支路的i侧母

线至j侧),因为无法使用图形支持模式,故只能通过文本支持环境计算出个交流线功率,下图为计算结果。

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

武汉大学计算机网络实验报告 (2)

武汉大学教学实验报告 动力与机械学院能源动力系统及自动化专业2013 年11 月10 日

一、实验操作过程 1.在仿真软件packet tracer上按照实验的要求选择无线路由器,一般路由器和PC机构建一个无线局域网,局域网的网络拓扑图如下: 2.按照实验指导书上的表9.1(参数配置表)对路由器,DNS服务器,WWW服务器和PC机进行相关参数的配置: 服务器配置信息(子网掩码均为255.255.255.0) 主机名IP地址默认网关 DNS 202.2.2.1 202.2.2.2 WWW 202.3.3.1 202.3.3.3 路由器配置信息(子网掩码均为255.255.255.0) 主机名型号IP地址默认网关时钟频率ISP 2620XM e1/0:202.2.2.2 e1/1:202.3.3.3 s0/0:202.1.1.2 64000 Router2(Server) 2620XM f0/0:192.168.1.1 s0/0:202.1.1.1 Wireless Router Linksys WRT300N 192.168.1.2 192.168.1.1 202.2.2.1 备注:PC机的IP地址将通过无线路由器的设置自动分配 2.1 对router0(sever)断的配置: 将下列程序代码输到router0中的IOS命令行中并执行,对router0路由器进行设置。Router>en Router#conf t

2.3 WWW服务器的相关配置 对www服务器进行与DNS服务器相似的配置,包括它的IP地址,子网掩码,网关等,具体的相关配置图见下图: WWW服务器的相关配置图

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

电路仿真实验报告

本科实验报告实验名称:电路仿真

实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,

将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描

分析化学实验报告(武汉大学第五版)

分析化学实验报告 陈峻 (贵州大学矿业学院贵州花溪 550025) 摘要:熟悉电子天平得原理与使用规则,同时可以学习电子天平得基本操作与常用称量方法;学习利用HCl与NaOH相互滴定,便分别以甲基橙与酚酞为指示剂得 滴定终点;通过KHC 8H 4 O 4 标定NaOH溶液,以学习有机酸摩尔质量得测定方法、熟 悉常量法滴定操作并了解基准物质KHC 8H 4 O 4 得性质及应用;通过对食用醋总浓度 得测定,以了解强碱滴定弱酸过程中溶液pH得变化以及指示剂得选择。 关键词:定量分析;电子天平;滴定分析;摩尔质量;滴定;酸度,配制与标定 前言 实验就是联系理论与实际得桥梁,学好了各种实验,不仅能使学生掌握基本操作技能,提高动手能力,而且能培养学生实事求就是得科学态度与良好得实验习惯,促其形成严格得量得观念。天平就是大多数实验都必须用到得器材,学好天平得使用就是前提,滴定就是分析得基础方法,学好配制与滴定就是根本。 (一)、分析天平称量练习 一、实验目得: 1、熟悉电子分析天平得使用原理与使用规则。 2、学习分析天平得基本操作与常用称量法。 二、主要试剂与仪器 石英砂电子分析天平称量瓶烧杯小钥匙 三、实验步骤 1、国定质量称量(称取0、5000g 石英砂试样3份) 打开电子天平,待其显示数字后将洁净、干燥得小烧杯放在秤盘上,关好天平门。然后按自动清零键,等待天平显示0、0000 g。若显示其她数字,可再次按清零键,使其显示0、0000

g。 打开天平门,用小钥匙将试样慢慢加到小烧杯中央,直到天平显示0、5000 g。然后关好 天平门,瞧读数就是否仍然为0、5000g。若所称量小于该值,可继续加试样;若显示得量超过 该值,则需重新称量。每次称量数据应及时记录。 2、递减称量(称取 0、30~0、32 g石英砂试样 3 份) 按电子天平清零键,使其显示0、0000 g,然后打开天平门,将1个洁净、干燥得小烧杯 放在秤盘上,关好天平门,读取并记录其质量。 另取一只洁净、干燥得称量瓶,向其中加入约五分之一体积得石英砂,盖好盖。然后将 其置于天平秤盘上,关好天平门,按清零键,使其显示0、0000 g。取出称量瓶,将部分石英 砂轻敲至小烧杯中,再称量,瞧天平读数就是否在-0、30~-0、32 g 范围内。若敲出量不够, 则继续敲出,直至与从称量瓶中敲出得石英砂量,瞧其差别就是否合乎要求(一般应小于 0、4 mg)。若敲出量超过0、32 g,则需重新称量。重复上述操作,称取第二份与第三份试样。 四、实验数据记录表格 表1 固定质量称量 编号 1 2 3 m/g 0、504 0、500 0、503 表2 递减法称量 编号 1 2 3 m(空烧杯)/g 36、678 36、990 37、296 称量瓶倒出试样m1 -0、313 -0、303 -0、313 M(烧杯+试样)/g 36、990 37、296 37、607

武汉大学单级放大电路实验报告

武汉大学计算机学院教学实验报告 课程名称电路与电子技术成绩教师签名 实验名称单级放大电路(多人合作实验)实验序号06 实验日期2011-12-12 姓名学号专业年级-班 小题分: 一、实验目的及实验内容 (本次实验所涉及并要求掌握的知识;实验内容;必要的原理分析) 实验目的: 1.掌握放大器静态工作点的调试方法及其对放大器性能的影响。 2.学习测量放大器的静态工作点Q,Av,ri,ro的方法啊,了解共射极电路特性。 3.学习放大器的动态性能。 实验内容: 测量放大器的动态和静态工作状态结果填入相应表格当中,记录相应的β值,A值和等效的输入电阻ri与输出电阻r0。 二、实验环境及实验步骤 小题分: (本次实验所使用的器件、仪器设备等的情况;具体的实验步骤) 实验环境: 1.示波器 2.信号发生器 3.数字万用电表 4.TRE-A3模拟电路实验箱 实验步骤: 1.?值测量 (1)按图2.1所示连接电路,将Rp的阻值调到最大值。 (2)连线完毕仔细检查,确定无误后再接通电源。改变Rp,记录Ic分别为0.8mA,1mA, 1.2mA时三极管V的?值。

Ib(mA)0.05 0.06 0.066 Ic(mA) 0.8 1 1.2 ? 16 16.67 18.18 ?=Ic/Ib代入各式即可 2.Q点测量 信号源频率f=500Hz时,逐渐加大ui幅度,观察uo不失真时的最大输入ui值和最大输出uo值,并测量Ib,Ic,和VCE填入表2.2 表2.2 实测法估算法误差 IB (uA)IC (mA) Vce (V) IB’ (uA) IC’ (mA) V’ce (V) IB-I’B IC-I’C Vce-V’ 47.2 1.4 4.86 47.2 1.56 3 0 0.16 1.86 估算法:Ib=V1/(R1+R2)=12/(51k+200K)=47.2uA Ic= ?Ib=1.56mA Vce=V1-R3*Ic=3V 3.Av值测量 (1)将信号发生器调到频率f=500Hz,幅值为5mA,接到放大器输入端ui,观察ui和uo 端的波形,用示波器进行测量,并将测得的ui,uo和实测计算的Av值及理论估算的Av’值填入表2.3 表2.3 实测法估算法误差 Ui(mV)Uo(V) Av=uo/ui Av’Av’-Av 5 -1.3 -260 -31 .7 -55.7 估算法:Vbe=V1-Ib(R1+R2) Vce=V1-Ic*R3 Av’=Vce/Vbe=-315.7 (2)保持Vi=5mV不变,放大器接入负载RL,在改变Rc的数值情况下测量,并将计算结果填表2.4 表2.4 给定参数实 实测计 估算 Rc RL Vi(mV) V o(V) Av Av 2k 5k 5 0.83 165 177.89 2k 2k2 5 0.60 119 129.7 5k1 5k1 5 1.30 260 315.76 5k1 2k2 5 0.90 180 190.3

武汉大学计算机学院教学实验报告

武汉大学计算机学院教学实验报告 课题名称:电工实验专业:计算机科学与技术2013 年11 月15 日 实验名称电路仿真实验实验台号实验时数3小时 姓名秦贤康学号2013301500100年级2013 班3班 一、实验目的及实验内容 (本次实验所涉及并要求掌握的知识点;实验内容;必要的原理分析) 实验目的: 熟悉multisim仿真软件的使用 用multisim进行电路仿真,并验证书上的理论知识的正确性 内容:用仿真软件进行实验 二、实验环境及实验步骤 (本次实验所使用的器件、仪器设备等的情况;具体的实验步骤) 实验环境: 一台微机 实验步骤: 用multisim先进行电路仿真,再记录下相关数据 三、实验过程与分析 (详细记录实验过程中发生的故障和问题,进行故障分析,说明故障排除的过程和方法。根据具体实验,记录、整理相应的数据表格、绘制曲线、波形图等)

实验内容及数据记录 1、简单直流电路 简单直流电路在有载状态下电源的电阻、电压和电路 简单直流电路在短路状态下电源的电阻、电压和电路 简单直流电 路在 开路状 态下电源的电阻、电压和电路 2、复杂直 流电路 复杂直流电路中各元件上的电压 复杂直流电路中各元件上的电流 复杂直流电路在E1作用下负载上的电压和电流 复杂直流电路在E2作用下的电压和电流 复杂直流电路在E1与E2作用下的电压和电流 复杂直 流电路 中的等效电阻 R (k Ω) 1 2 3 4 5 I (mA ) 24000 24000 24000 24000 24000 U (V ) 0.000024 0.000024 0.000024 0.000024 0.000024 R (k Ω) 1 2 3 4 5 I (mA ) 12 6.09 4.011 3.011 2.412 U (V ) 11.94 11.997 11.99 8 11.998 11.999 R (k Ω) 1 2 3 4 5 I (mA ) 0.000176 0.000176 0.000176 0.000176 0.000176 U (V ) 12 12 12 12 12 RL (k Ω) 1 2 3 4 5 URL (V ) 6.799 8.497 9.269 9.710 9.995 UR1(V ) 5.198 3.501 2.730 2.289 2.004 UR2(V ) -3.200 -1.502 -0.731 -0.290 -0.005286 UE1(V ) 11.997 11.998 11.999 11.999 11.999 UE2(V ) 9.999 10.000 10.000 10.000 10.000 RL (k Ω) 1 2 3 4 5 IRL (mA ) 6.807 4.258 3.100 2.437 2.209 IR1(mA ) 5.198 3.505 2.733 2.292 2.006 IR2(mA ) -1.603 2.499 --1.999 -1.666 -1.428 IE1(mA ) 5.198 3.505 2.733 2.292 2.006 IE2(mA ) -1.603 -2.501 -2.000 -1.666 -1.428 RL (k Ω) 1 2 3 4 5 UE1(V ) 4.798 5.996 6.540 6.851 7.053 IE1(mA ) 4.803 3.004 2.187 1.720 1.418 RL (k Ω) 1 2 3 4 5 UE2(V ) 2.002 2.501 2.729 2.858 2.942 IE2(mA ) 2.002 1.252 0.911 0.718 0.592 RL (k Ω) 1 2 3 4 5 URL (V ) 6.802 8.497 9.269 9.710 9.995 IRL (mA ) 6.807 4.258 3.100 2.437 2.209 R3(k Ω) 1 2 3 4 5 R6(k Ω) 2 3 4 5 6 R7(k Ω) 3 4 5 6 7 RL (k Ω) -1.603 2.499 --1.999 -1.666 -1.428 URL (V ) 5.198 3.505 2.733 2.292 2.006 IRL (A ) -1.603 -2.501 -2.000 -1.666 -1.428 R3(k Ω) 1 2 3 4 5

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

武汉大学_数字电路—实验报告

数字电路实验报告 学号:姓名:班级:% % %

目录 实验一组合逻辑电路分析 (1) 一、实验目的 (1) 二、实验原理 (1) 三、实验内容 (1) 实验二组合逻辑实验(一)——半加器和全加器 (3) 一、实验目的 (3) 二、实验原理 (3) 三、实验内容 (4) 实验三组合逻辑实验(二)数据选择器和译码器的应用 (6) 一、实验目的 (6) 二、实验原理 (6) 三、实验内容 (7) 实验四触发器和计数器 (9) 一、实验目的 (9) 二、实验原理 (9) 三、实验内容 (10) 实验五数字电路实验综合实验 (12) 一、实验目的 (12) 二、实验原理 (12) 三、实验内容: (13) 实验六555集成定时器 (15) 一、实验目的 (15) 二、实验原理 (15) 三、实验内容 (16) 实验七数字秒表 (19) 一、实验目的 (19) 二、实验原理 (19) 三、实验内容 (21)

实验一组合逻辑电路分析 一、实验目的 掌握逻辑电路的特点; 学会根据逻辑电路图分析电路的功能。 二、实验原理 74LS00集成片有四块二输入与非门构成,逻辑表达式为。 74LS20由两块四输入与非门构成。逻辑表达式为。 三、实验内容 实验一、根据下列实验电路进行实验:

实验二、分析下图电路的密码 密码锁开锁的条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为”1”,将锁打开。否则,报警信号为”1”,接通警铃。

实验二 组合逻辑实验(一)——半加器和全加器 一、实验目的 熟悉用门电路设计组合电路的原理和方法步骤。 预习内容 复习用门电路设计组合逻辑电路的原理和方法。 复习二进制的运算。 利用下列元器件完成:74LS283、74LS00、74LS51、74LS136; 完成用“异或”门、“与或非”门、“与非”门设计全加器的逻辑图; 完成用“异或”门设计的3变量 判奇电路的原理图。 二、实验原理 1、半加器 半加器是算术运算电路中的基本单元,是完成1位二进制数相加的一种组合逻辑电路。 如果只考虑了两个加数本身,而没有考虑低位进位的加法运算,称为半加器。实现 说明:其中,A 、B 是两个加数,S 表示和数,C 表示进位数。 有真值表可得逻辑表达式: ?? ?=+=AB C B A B A S 2、全加器 全加器能进行加数、被加数和低位来的进位信号相加,并根据求和结果给出该位的进位信号。 说明:其中A 和B 分别是被加数及加数,Ci 为低位进位数,S 为本位和数(称为全加和),Co 为向高位的进位数。得出全加器逻辑表达式: ?????⊕+=++=⊕⊕=+++=i i i o i i i i i C B A AB BC A C B A AB C C B A ABC C B A C B A C B A S )(

实验三 Matlab的数字调制系统仿真实验(参考)

成都理工大学实验报告 课程名称:数字通信原理 姓名:__________________学号:______________ 成绩:____ ___ 实验三Matlab的数字调制系统仿真实验(参考) 1 数字调制系统的相关原理 数字调制可以分为二进制调制和多进制调制,多进制调制是二进制调制的推广,主要讨论二进制的调制与解调,简单讨论一下多进制调制中的差分相位键控调制(M-DPSK)。 最常见的二进制数字调制方式有二进制振幅键控(2-ASK)、移频键控(2-FSK)和移相键控(2-PSK 和2-DPSK)。下面是这几种调制方式的相关原理。 1.1 二进制幅度键控(2-ASK) 幅度键控可以通过乘法器和开关电路来实现。载波在数字信号1 或0 的控制下通或断,在信号为1 的状态载波接通,此时传输信道上有载波出现;在信号为0 的状态下,载波被关断,此时传输信道上无载波传送。那么在接收端我们就可以根据载波的有无还原出数字信号的1 和0。 幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波在二进制调制信号控制下通断,此时又可称作开关键控法(OOK)。多电平MASK调制方式是一种比较高效的传输方式,但由于它的抗噪声能力较差,尤其是抗衰落的能力不强,因而一般只适宜在恒参信道下采用。 2-ASK 信号功率谱密度的特点如下: (1)由连续谱和离散谱两部分构成;连续谱由传号的波形g(t)经线性调制后决定,离散谱由载波分量决定; (2)已调信号的带宽是基带脉冲波形带宽的二倍。 1.2 二进制频移键控(2-FSK) 数字频率调制又称频移键控(FSK),二进制频移键控记作2FSK。数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。2FSK

数字电路仿真实验报告

数字逻辑与CPU 仿真实验报告 姓名: 班级: 学号:

仿真实验 摘要:Multisim是Interactive Image Technologies公司推出的以Windows为基础的仿真工具,具有丰富的仿真分析能力。本次仿真实验便是基于Multisim软件平台对数字逻辑电路的深入研究,包括了对组合逻辑电路、时序逻辑电路中各集成元件的功能仿真与验证、对各电路的功能分析以及自行设计等等。 一、组合逻辑电路的分析与设计 1、实验目的 (1)掌握用逻辑转换器进行逻辑电路分析与设计的方法。 (2)熟悉数字逻辑功能的显示方法以及单刀双掷开关的应用。 (3)熟悉字信号发生器、逻辑分析仪的使用方法。 2、实验内容和步骤 (1)采用逻辑分析仪进行四舍五入电路的设计 ①运行Multisim,新建一个电路文件,保存为四舍五入电路设计。 ②在仪表工具栏中跳出逻辑变换器XLC1。 图1-1 逻辑变换器以及其面板 ③双击图标XLC1,其出现面板如图1-1所示 ④依次点击输入变量,并分别列出实现四舍五入功能所对应的输出状态(点击输出依 次得到0、1、x状态)。 ⑤点击右侧不同的按钮,得到输出变量与输入变量之间的函数关系式、简化的表达式、 电路图及非门实现的逻辑电路。 ⑥记录不同的转换结果。

(2)分析图1-2所示代码转换电路的逻辑功能 ①运行Multisim,新建一个电路文件,保存为代码转换电路。 ②从元器件库中选取所需元器件,放置在电路工作区。 ?从TTL工具栏选取74LS83D放置在电路图编辑窗口中。 ?从Source库取电源Vcc和数字地。 ?从Indictors库选取字符显示器。 ?从Basic库Switch按钮选取单刀双掷开关SPD1,双击开关,开关的键盘控制设 置改为A。后面同理,分别改为B、C、D。 图1-2 代码转换电路 ③将元件连接成图1-2所示的电路。 ④闭合仿真开关,分别按键盘A、B、C、D改变输入变量状态,将显示器件的结果填 入表1-1中。 ⑤说明该电路的逻辑功能。 表1-1 代码转换电路输入输出对应表

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

(完整版)基于QuartusII的数字电路仿真实验报告手册

数字电路仿真实验报告 班级通信二班姓名:孔晓悦学号:10082207 作业完成后,以班级为单位,班长或课代表收集齐电子版实验报告,统一提交. 文件命名规则如“通1_王五_学号” 一、实验目的 1. 熟悉译码器、数据选择器、计数器等中规模数字集成电路(MSI)的逻辑功能及其使 用方法。 2. 掌握用中规模继承电路构成逻辑电路的设计方法。 3. 了解EDA软件平台Quartus II的使用方法及主要功能。 二、预习要求 1. 复习数据选择器、译码器、计数器等数字集成器件的工作原理。 2. 熟悉所有器件74LS153、74LS138、74LS161的功能及外引线排列。 3.完成本实验规定的逻辑电路设计项目,并画出接线图,列出有关的真值表。 三、实验基本原理 1.译码器 译码器的逻辑功能是将每个输入的二进制代码译成对应的高、低电平信号。译码器按功能可分为两大类,即通用译码器和显示译码器。通用译码器又包括变量译码器和代码变换译码器。 变量译码器是一种完全译码器,它将一系列输入代码转换成预知一一对应的有效信号。 这种译码器可称为唯一地址译码器。如3线—8线、4线—16线译码器等。 显示译码器用来将数字或文字、符号的代码译成相应的数字、文字、符号的电路。如BCD-七段显示译码器等。 2.数据选择器 数据选择器也陈伟多路选择器或多路开关,其基本功能是:在选择输入(又称地址输入)信号的控制下,从多路输入数据中选择某一路数据作为输出。因此,数据选择器实现的是时分多路输入电路中发送端电子开关的功能,故又称为复用器。一般数据选择器有n 个地址输入端,2n错误!未找到引用源。个数据输入端,一个数据输出端或反码数据输出端,同时还有选通端。目前常用的数据选择器有2选1、4选1、8选1、16选1等多种类型。 3.计数器 计数器是一个庸医实现技术功能的时序部件,它不仅可以用来对脉冲计数,还常用作数字系统的定时、分频、执行数字运算以及其他一些特定的逻辑功能。 74LS161是4位同步二进制计数器,它除了具有二进制加法计数功能外,还具有预置数、保质和异步置零等附加功能。 四、实验内容

北京邮电大学数字电路实验报告

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能,并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器能够由两个半加器和一个或门构成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表 示式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)(

实验一 典型环节的电路模拟与数字仿真实验

实验一典型环节的电路模拟与数字仿真实验 一实验目的 通过实验熟悉各种典型环节传递函数及其特性,掌握电路模拟和数字仿真研究方法。 二实验内容 1.设计各种典型环节的模拟电路。 2.编制获得各种典型环节阶跃特性的数字仿真程序。 3.完成各种典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响。 4.运行所编制的程序,完成典型环节阶跃特性的数字仿真研究,并与电路模拟研究的结果作比较。 三实验步骤 1.熟悉实验设备,设计并连接各种典型环节的模拟电路; 2.利用实验设备完成各典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响; 3.用MATLAB编写计算各典型环节阶跃特性的数字仿真研究,并与电路模拟测试结果作比较。分析实验结果,完成实验报告。 四实验结果 1.积分环节模拟电路、阶跃响应

仿真结果: 2.比例积分环节模拟电路、阶跃响应 仿真结果:

3.比例微分环节模拟电路、阶跃响应 仿真结果: 4.惯性环节模拟电路、阶跃响应

仿真结果: 5.实验结果分析: 积分环节的传递函数为G=1/Ts(T为积分时间常数),惯性环节的传递函数为G=1/(Ts+1)(T为惯性环节时间常数)。 当时间常数T趋近于无穷小,惯性环节可视为比例环节, 当时间常数T趋近于无穷大,惯性环节可视为积分环节。

实验二典型系统动态性能和稳定性分析的电路模拟与数 字仿真研究 一实验目的 1.学习和掌握动态性能指标的测试方法。 2.研究典型系统参数对系统动态性能和稳定性的影响。 二实验内容 1.观测二阶系统的阶跃响应,测出其超调量和调节时间,并研究其参数变化对动态性能和稳定性的影响。 三实验步骤 1.熟悉实验设备,设计并连接由一个积分环节和一个惯性环节组成的二阶闭环系统的模拟电路; 2.利用实验设备观测该二阶系统模拟电路的阶跃特性,并测出其超调量和调节时间; 3.二阶系统模拟电路的参数观测参数对系统的动态性能的影响; 4.分析结果,完成实验报告。 四实验结果 典型二阶系统 仿真结果:1)过阻尼

相关文档
相关文档 最新文档