文档库 最新最全的文档下载
当前位置:文档库 › 毕业设计(论文)开题报告

毕业设计(论文)开题报告

一、本科学生毕业论文的目的和内容

本科学生在毕业之前必须做毕业论文,其目的是通过毕业论文,让学生独立开发一个具体的计算机应用项目,系统地进行分析总结和运用学过的书本知识,以巩固本科阶段所学的专业理论知识,并给予一个理论联系实际的机会。

为了便于实施和管理,规定网络学院计算机相关专业本科学生毕业论文主要以开发一个管理信息系统为毕业实践的课题,每个毕业生通过独立开发一个具体的管理信息系统,掌握开发一个比整完整的管理信息系统的主要步骤,并从中获得一定的实际经验。

二、管理信息系统开发的主要步骤

管理信息系统开发的主要步骤及各步骤的基本内容如下:

1、系统分析

主要工作内容有以下几项:确定系统目标,系统可行性分析

2、系统调查

系统的组织结构、职能结构和业务流程分析。其中系统的组织结构图应画成树状结构。

系统业务流程分析、业务流程图

3、数据流程分析

数据流程图(系统关联图、顶层图、一层数据流图、二层数据流图)、数据词典、代码设计4、管理信息系统的功能设计

系统的功能结构图,每个功能模块的主要工作内容、输入输出要求等。

系统控制结构图

5、数据库设计

概念模型设计:实体、实体间的联系、E-R图

关系模式设计:E—R图->关系模式的转换规则

关系模式

数据库表设计:数据库表结构

6、系统物理配置方案

7、人机界面设计

8、模块处理概述

9、系统测试和调试:测试计划、测试用例、测试结果

三、开发工具和注意事项

1、开发工具

开发工具可由学生任选。如Delphi、FoxPro、VB、Access等,这些工具的使用全由学生自学。

2、注意事项

(1)项目开发步骤的完整性(系统需求分析、概念设计、物理设计、系统环境和配置、系统实施以及系统测试和调试等)

(2)每个开发步骤所得结果的正确性(业务流程图、数据流程图、数据词典、HIPO图、E-R图、关系模式、人机界面设计及模块处理等的详细分析和说明)

(3)论文整体结构的完整性(前言、各个具体步骤的叙述和分析、结语、参考文献和有关附录)

(4)提供软件系统的可执行盘片及操作说明书

(5)参考资料(列出必要的参考资料)

四、毕业论文撰写格式

注意:1.每个步骤都要有文字说明和论述2.各个步骤必须是有机的组合,不可以支离破碎不成一体。

一、封面

二、摘要用约200-400字简要介绍一下论文中阐述的主要内容及创新点

三、主题词用一、二个词点明论文所述内容的性质。(二和三要在同一页面上)

四、目录一般采用三级目录结构。例如第三章系统设计3.1系统概念结构3.1.1概念模型

五、正文

第一章前言

简要介绍:组织机构概况、项目开发背景、信息系统目标、开发方法概述、项目开发计划等。

第二章系统需求分析

本章应包含:

(1)现行业务系统描述

包括业务流程分析,给出业务流程图。

具体要求:业务流程图必须有文字说明,图要完整、一定要有业务传递的流程。

(2)现行系统存在的主要问题分析

指出薄弱环节、指出要解决的问题的实质,确保新系统更好,指出关键的成功因素。

(3)提出可能的解决方案

(4)可行性分析和抉择

包括技术可行性、经济可行性、营运可行性分析和抉择。

第三章新系统逻辑方案

针对用户需求,全面、系统、准确、详细地描述新系统应具备的功能。

(1)数据流程分析

最主要的是给出数据流程图,要求满足以下条件:

A.数据流程图必须包括系统关联图、系统顶层图、第一层分解图和第二层分解图组成。B.系统关联图确定了从外部项到系统的数据流和从系统向外部项的数据流,这些数据流在其它层次的数据流中不允许减少,也不允许增加。各层次内部的数据流不受关联图的限制。C. 数据流应有名字。D. 外部项和数据存储之间不得出现未经加工的数据流。E. 数据流程图的分解中,必须保持每个分层同其上层加工中的外部项和输入输出流相一致。F. 各加工之间一般不应出现未经数据存储的数据流。G. 数据存储之间不得出现未经加工的数据流。H. 数据存储可以分解。I. 若有查询处理,应在数据流程图中表达。J. 统计和打印报表不在数据流图中表达。

(2) 数据词典描述

可采用图表格式或较紧凑的记录格式描述A、若采用图表格式,可只写出数据流、数据元素、加工、数据存储和外部项各一个表。B、若采用紧凑的记录格式,则应列出全部成分。如数据元素:编号名称存在于数据结构备注E1 入库数据F1/F3/F11/F15 入库单号+日期+货号+数量E2 出库数据F1/F3/F11/F15 出库单号+日期+货号+数量C、据流程图中系统顶层图的数据加工都必须详尽写出。

(3) 基本加工小说明

可采用结构化语言、数学公式等描述各个基本加工。

第四章系统总体结构设计

(1)软件模块结构设计A、系统软件模块结构图,并由此导出功能分解图及层次式菜单结构。B、系统的模块结构应与数据流程图的顶层图的加工一致。

(2)数据库设计A、应按下列次序阐述各个元素:实体、实体的属性、实体间联系、E-R 图、转换规则、关系模式。B、在介绍实体的属性时,不应包括联系属性,联系属性直至关系模式中才出现。C、数据流程图中的每个数据存储可隐含于E-R图中的多个实体。D、E-R图中的实体要与数据流程图中的数据存储相对应。每个实体要指出实体的标识码(主码)。对每个实体或联系应列出其应有的属性(用列举的方法)。E、E-R图中至少要有一个多对多的联系。F、必须严格按照转换规则从E-R图产生数据关系模式集,需要时作必要的优化,并说明理由。G、对于一对一的联系,只应把任一个实体的主码放在另一个实体中作为外码。H、一对多联系也可以产生新的关系模式,如要这样做,必须说明理由。

I、多对多联系,或三元联系必须产生新的关系模式。J、关系模式的个数和名字要与E-R 图中的实体和联系相一致。K.、每个关系模式中要用下横线标出主码,后随的符号“#”标出外码。L、若有代码对照表可在最后列出,需另加说明。

(3) 计算机系统配置方案的选择和设计

给出硬件配置,系统软件配置,网络通信系统配置(可选)等内容。

(4) 系统总体安全性、可靠性方案与措施。

第五章系统详细设计

(1)代码设计基本数据项的代码格式。

(2)人机界面设计给出人机界面视图(输入输出接口,屏幕格式设计等)

(3)模块处理过程根据软件环境做不同处理。可采用脚本、程序流程图、结构化的PDL 语言等。

第六章实施概况

(1)实施环境和工具的比较选择

(2)编程环境、工具、实现与数据准备概况

(3)系统测试概况主要包括测试计划、测试用例、测试记录。

(4)系统转换方案及实现概况

(5)系统运行与维护概况

六、结束语

(1)系统特色、局限与展望

(2)实施中遇到的挫折、创新、体会与致谢

七、参考文献列出毕业论文设计中主要参考书籍

序号、书名或文章名、作者名、出版社或杂志名、出版日期或杂志期号。

八、附录

(1)列出部分有一定代表性的程序代码段

(2)操作说明书

五、论文评分标准

1、A等

系统正确无误,系统功能完善,设计步骤完整正确,实用性强,有一定的创新性,论文结构严谨,表述流畅。

2、B等

系统基本正确,系统功能基本完善,设计步骤基本完整正确,有一定的实用性,论文结构良好,表述基本流畅。

3、C等

系统有少量错误,系统功能不够完善,设计步骤欠完整,基本上没有实用性,论文结构一般,表述基本清楚。

4、不及格

因有以下所列某种原因,均作论文不及格评分。

系统有较大的错误、系统功能不完善,缺少主要设计步骤或主要设计步骤有严重错误,论文结构混乱,表述不清楚

开题报告并不设计太专业的东西,那是你关于这个课题的一个开题计划等东西,一般有国家或者学校规定好的格式,所以开题报告一般是照者上面的项目填写就是了

封面:

XX 大学

毕业设计(论文)开题报告

学生姓名:XX 学号:100

学院:计算机科学与软件学院系:计算机科学与技术

设计(论文)题目:水质监测数据处理系统的设计与实现-(数据库维护、数据计算模块)指导教师:XX

开题报告填写要求

1.开题报告(含“文献综述”)作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业设计(论文)工作前期内完成,经指导教师签署意见及所在专业审查后生效;

2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计的电子文档标准格式(可从教务处网页上下载)打印,禁止打印在其它纸上后剪贴,完成后应及时交给指导教师签署意见;

3.“文献综述”应按论文的格式成文,并直接书写(或打印)在本开题报告第一栏目内,学生写文献综述的参考文献应不少于15篇(不包括辞典、手册);

4.有关年月日等日期的填写,应当按照国标GB/T 7408—94《数据元和交换格式、信息交换、日期和时间表示法》规定的要求,一律用阿拉伯数字书写。如“2002年11月26日”或“2002-11-26”。

1.结合毕业设计(论文)课题情况,根据所查阅的文献资料,每人撰写

2000字左右的文献综述:

PS:综述完了记得要有参考文献

2.本课题要研究或解决的问题和拟采用的研究手段(途径):

指导教师意见

PS:这个不是你填写的

设计思路~

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。

一、设计任务(数字钟的功能):

1.具有时、分、秒、计数显示功能,以24小时循环计时;

2.具有清零,调节小时、分钟功能;

3.具有整点报时功能,整点报时的同时LED灯花样显示。

扩展部分:在基础功能上添加以下几个功能:秒表,倒计时和闹钟。

目的是:掌握多位计数器相连的设计方法;掌握十进制,六进制,二十四进制计数器的设计方法;继续巩固多位共用级扫描显示数码管的驱动及编码;掌握扬声器的驱动;LED灯的花样显示;掌握EPLD技术的层次化设计方法。

而且需要以下硬件条件:

1,主芯片EPF10K10LC84-4;

2 ,8个LED灯;

3,扬声器;

4,8位八段扫描共阴极数码显示管;

5,三个按键开关(清零,调小时,调分钟)

二、实现方案:

把整个实验分成如下电路模块:

1.时钟计数:秒——60进制BCD码计数:

分——60进制BCD码计数:

时——24进制BCD码计数:

模块说明:

各种进制的计数及时钟控制模块(10进制、6进制、24进制);

同时获个计数器有清零,调分,调时功能。在接近整数时间能提供报时信号。

2.具有驱动8位八段共阴扫描数码管的片选驱动信号输出和八段字形译码输出。

3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间当重新接通电源或走时出现误差时都需要对时间进行校正。通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。

4.计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时

5.LED灯按个人爱好在整点时有花样显示信号产生。

三、实现设计过程:.

秒个位计数单元为10进制计数器,无需进制转换,我们采用的是VHDL语言编程实现的. 秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器的程序稍微修改为6进制计数器

分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连。

时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为24进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行24进制转换.

1、十进制BCD码计数器

library ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_signed.all;

ENTITY c6 IS

port(clk,clr : in std_logic;

q : out std_logic_vector(2 downto 0));

end c6;

architecture one of c6 is

signal count :std_logic_vector(2 downto 0);

begin

process(clk,clr)

begin

if clr='1' then

count<="000";

elsif clk'event and clk='1' then

if count="1001" then

count<="000";

else

count<=count+1;

end if;--for count

end if; --for clr

end process;

q<=count;

end ;

2、二十四进BCD制码计数器:

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

ENTITY count IS

port( clk,clr,count_en : in std_logic;

dout1,dout2 : out std_logic_vector(3 downto 0); car:out std_logic);=count2+'1';

end if;

if count2="0010"and count1="0011" then count2<="0000";car<='1';

else car<='0';

end if;

end if;

end if;

end process;

dout1<=count1;dout2<=count2 ;

end;

3、六十进制计数器:

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_arith.all;

USE ieee.std_logic_UNSIGNED.all;

entity c60 is

port(clk,clr,count_en:in std_logic;

dout1,dout2:out std_logic_vector(3 downto 0); car:out std_logic);

end c60;

count1<="0000";count2<="0000";

elsif clk'event and clk='1' then

if count_en='0' then

count1<=count1+'1';

if count1 ="1001" then

count1<="0000";count2<=count2+1;

end if ;

if count2 ="0101" and count1 ="1001" then count2<="0000";car<='1';

else car<='0';

end if ;

end if ;

end if ;

end process;

dout1<=count1;dout2<=count2;

end ;

4、实现报时器功能的程序:

LIBRARY ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_arith.all;

USE ieee.std_logic_UNSIGNED.all;

entity bijiaoqi is

port(minu1,minu2,hour1,hour2,fen0,fen1,shi0,shi1:in std_logic_vector(3 downto 0); baoshi:out std_logic);

end ;

architecture rtl of bijiaoqi is

begin

process(minu1,minu2,hour1,hour2,fen0,fen1,shi0,shi1)

begin

if hour1=shi0 and hour2=shi1 and minu1=fen0 and minu2=fen1 then

baoshi<='1';

else baoshi<='0';

end if;

end process;

end;

6、实现定时功能的程序:

library ieee;

USE ieee.std_logic_1164.all;

USE ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

ENTITY dingshi IS

PORT (hour,SET: IN STD_LOGIC;

hour0,hour1 : out std_logic_vector(3 downto 0));

END dingshi;

architecture rtl of dingshi is

signal n:std_logic;

signal count3,count4 :std_logic_vector(3 downto 0);

begin

process(set,hour,n)

begin

n<=hour and set;

if n' EVENT AND n='1' THEN

if count4>="0010" and count3="0011" then

count4<="0000";count3<="0000";

else count3<=count3+1;

if count3="1001" then

count4<=count4+1;

count3<="0000";

end if;

end if;

end if;

end process;

hour0<=count3;hour1<=count4;

end;

3

相关文档
相关文档 最新文档