文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑(第四版)复习大纲

数字逻辑(第四版)复习大纲

数字逻辑(第四版)复习大纲
数字逻辑(第四版)复习大纲

第一章数和编码

第一节数制及其转换

一、数字信号(Analog Signal)与模拟信号(Digital Signal)

我们日常生活中接触的数据有两种:模拟数据和数字数据。模拟数据(Analog Data)是由传感器采集得到的连续变化的值,例如温度、压力,以及目前在电话、无线电和电视广播中的声音和图像。数字数据(Digital Data)则是模拟数据经量化后得到的离散的值,例如在计算机中用二进制代码表示的字符、图形、音频与视频数据。目前,ASCII 美国信息交换标准码(American Standard Code for Information Interchange)已为ISO国际标准化组织和CCITT国际电报电话咨询委员会所采纳,成为国际通用的信息交换标准代码,使用7位二进制数来表示一个英文字母、数字、标点或控制符号;图形、音频与视频数据则可分别采用多种编码格式。

1、模拟信号与数字信号

不同的数据必须转换为相应的信号才能进行传输:模拟数据一般采用模拟信号,例如用一系列连续变化的电磁波(如无线电与电视广播中的电磁波),或电压信号(如电话传输中的音频电压信号)来表示;数字数据则采用数字信号,例如用一系列断续变化的电压脉冲(如我们可用恒定的正电压表示二进制数1,用恒定的负电压表示二进制数0),或光脉冲来表示。当模拟信号采用连续变化的电磁波来表示时,电磁波本身既是信号载体,同时作为传输介质;而当模拟信号采用连续变化的信号电压来表示时,它一般通过传统的模拟信号传输线路(例如电话网、有线电视网)来传输。当数字信号采用断续变化的电压或光脉冲来表示时,一般则需要用双绞线、电缆或光纤介质将通信双方连接起来,才能将信号从一个节点传到另一个节点。

2、模拟信号与数字信号之间的相互转换

模拟信号和数字信号之间可以相互转换:模拟信号一般通过PCM脉码调制(Pulse Code Modulation)方法量化为数字信号,即让模拟信号的不同幅度分别对应不同的二进制值,例如采用8位编码可将模拟信号量化为2^8=256个量级,实用中常采取24位或30位编码;数字信号一般通过对载波进行移相(Phase Shift)的方法转换为模拟信号。计算机、计算机局域网与城域网中均使用二进制数字信号,目前在计算机广域网中实际传送的则既有二进制数字信号,也有由数字信号转换而得的模拟信号。但是更具应用发展前景的是数字信号。

二、数制(Numeration System)

对于日常生活中的数值,必须有一个约定俗成的写法和读法,数值的这一约定俗成的写法和读法叫数制。

常用的数制是进位计数制,简称进位制,即按进位方式实现计数的制度。进位计数制包括两个基本的因素:基数和位权。基数:是计数制中所用到的数码的个数。基数为N的计数制中,包含0,1,……,N-1等数码,进位规律是“逢N进一”,每个数位计满N就向高位进1。位权:在一个进位计数制表示的数中,处在不同数位的数码,代表着不同的数值,某一个数位的数值是由这一位数码的值乘上处在这位的一个固定常数。不同数位上的固定常数称为位权值,简称位权。所以一个数的值为基数乘以位权的累加和。

1、二进制(Binary)

采用“逢十进一”的计数制为十进制(Decimal),同样采用“逢二进一”的计数制为二进制。在计算机中常采用的进位计数制有二进制、八进制(Octal)和十六进制(Hexadecimal)。

二进制中基数只有两个:0和1。

二进制的运算规则是:

加法:0+0=0 0+1=1 1+0=1 1+1=10

乘法:0*0=0 0*1=0 1*0=0 1*1=1

八进制的基数为:0,1,……,7

十六进制的基数为:0,1,……,9,A,B,C,D,E,F

为了区分各种进制通常采用:(10)2,(10)10,(10)8,(10)16或(10)B,(10)D,(10)O,(10)H

2、数制转换

⑴十进制和二进制之间的转换:

①二进制转换十进制

(1011.101)2 =(11.625)10,

(1011.101)2 =1*23 + 0*22 + 1*21 + 1*20 + 0*2-1 + 1*2-2 + 1*2-3

=8 + 0 + 2 + 1 + 0.5 + 0 + 0.125

=11.625

②整数十进制转换二进制:采用除2倒排余数

例(13)10 =(1101)2

213

2 6 (1)

2 3 0

1 1 (1)

0 (1)

③小数十进制转换二进制:采用乘2取进位

例(0.6875)10 =(0.1011)2

0.6875 * 2 = 1.375 = 1 + 0.375

0.375 * 2 = 0.75 = 0 + 0.75

0.75 * 2 = 1.5 = 1 + 0.5

0.5 * 2 = 1 = 1 + 0

因为余数为0.0,运算结束

⑵二进制转换八进制、十六进制

由于十六进制数可以用四位二进制数表示,所以二进制数转换十六进制数时,只需把二进制数四位一组,直接转换即可。同理,十六进制数转换成二进制数也只需把每一位十六进制数直接转换成四位二进制数即可。

(10000110001.1011)2 =(2061.54)8 =(431.B)16

第二节真值与机器数

一、真值

带有正负符号的二进制数称真值。

X = +1011 Y = -0010

二、机器数

把真值的“+”,“-”机器化,即用“0”表示“+”,用“1”表示“-”,这样的数称为机器数,这种数据表示法便于在计算机中表示。

X = 01011 Y = 10010

三、原码

原码是一种机器数的表示法。它约定:对于一个正数,用“0”表示它的符号,后面的数值部分就是它的二进制数;对于一个负数,用“1”表示它的符号,后面的数值部分就是它的二进制数。

[X]原= 01011 [Y]原= 10010

四、反码

反码是一种机器数的表示法。它约定:对于一个正数,用“0”表示它的符号,后面的数值部分就是它的二进制数;对于一个负数,用“1”表示它的符号,后面的数值部分就是它的二进制数(即原码)逐位变反(即“0” 变“1” ,“1”变“0” )。

[X]反= 01011 [Y]反= 11101

五、补码(Complement)

补码是一种机器数的表示法。它约定:对于一个正数,用“0”表示它的符号,后面的数值部分就是它的二进制数;对于一个负数,用“1”表示它的符号,后面的数值部分就是它的二进制数(即原码)逐位变反,然后加1。

[X]补= 01011 [Y]补= 11110

对负的补码进行还原的时候仍然采用数值位逐位变反,然后加1的方法。

第三节编码与基本逻辑运算

一、常见编码

1、8421码

8421码是一种用二进制表示十进制的编码方式,由于是用四位二进制数表示一个十进制数,二进制数的四个位权是8、4、2、1,因此得名。8421码的编码范围是:0000——1001。

2、2421码

2421码也是一种用二进制表示十进制的编码方式,但二进制数的四个位权是2、4、2、1,通常2421码的编码形式是对9互补,2421码的编码范围是:0000——0100,1011——1111。

3、余3码

在8421码的基础上,逐个数加3。8421码和2421码的编码方式与他们的位权有关,所以统称为有权码,而余3码则是一种无权码。余3码的编码范围是:0011——1100。

4、格雷码(Gray Code)

格雷码是一种特殊的编码形式,其特点是:任意两个相邻的数,其格雷码只有一位有差别。格雷码是无权码。

二进制数转换格雷码的规则是:格雷码的第i位(G i)是二进制数的第i位(B i)和第i+1位(B i+1)的模2和,即G i = B i⊕ B i+1 ,如果B i为最高位,则B i+1 =0。

格雷码转换成二进制数的规则是:B n= G n,B n和G n分别表示二进制数和格雷

码的最高数和格雷码的最高位;B i = B i+1⊕ G i

说明:模2加运算符号:“⊕”,模2加运算规则:

0 ⊕ 0 = 0 0 ⊕ 1 = 1 1 ⊕ 0 = 1 1 ⊕ 1 = 0

二、基本逻辑运算及其门电路

1、与运算(逻辑乘)和与门(AND Gate)电路

逻辑符号

逻辑表达式:F=A·B(也记为F=AB)

2、或运算(逻辑加)和或门(OR Gate )电路 逻辑符号

逻辑表达式:F=A +B

3

、非运算和非门(NOT Gate )电路 逻辑符号:

逻辑表达式:F=A

三、TTL 门电路

1、 与非门(NAND Gate ) 逻辑符号:

逻辑表达式:F=AB 真值表

2、或非门(NOR Gate ) 逻辑符号

逻辑表达式:F=B A

3、异或门(Exclusive OR Gate ) 逻辑符号

逻辑表达式:F= A ⊕ B = A B + A B

4、同或门(Exclusive NOR Gate)

逻辑符号

逻辑表达式:F= A ⊙ B = A B+ AB

5、三态门

除了以上常见逻辑门以外,还有一种三态门。三态门有一个控制端,通过控制端可以使三态门的输出状态为:0态、1态和高阻态。下面是一个带三态输出的与非门电路。

四、正负逻辑问题

正逻辑规定:高电平为逻辑1,低电平为逻辑0;负逻辑规定:高电平为逻辑0,低电平为逻辑1。因此正逻辑的与非运算和负逻辑的或非运算等效,正逻辑的与运算和负逻辑的或运算等效。

第二章逻辑代数及逻辑函数的化简

第一节逻辑代数基础

一、逻辑代数(Boolean Algebra)

一个非空集合连同若干个定义在该集合上的运算所组成的系统称为代数系统。1847年,英国数学家乔治?布尔创立一个代数系统,此代数系统有一个非空集合,同时定义在此集合上的运算有三种,“? ” ,“+” ,“—”(与、或、非),集合对于运算是封闭的,此代数系统称为逻辑代数(又称布尔代数)。1938年,克劳德?香农将布尔代数应用于电话继电器的开关电路,即集合为{0,1},形成了二值布尔代数。

数字逻辑就是研究这种二值布尔代数表示的电路开关关系。

设某一逻辑网络的输入逻辑变量为A1,A2,…,A n,输出逻辑变量为F,当A1,A2,…,A n,的取值确定后,F的值就被唯一确定下来,则称F是A1,A2,…,A n,的逻辑函数,记为:F=f(A1,A2,…,A n)

通常情况,逻辑函数有三种表示方法:逻辑表达式(Logical Expression)、真值表(Truth Table)和卡诺图(Karnaugh Map)。

逻辑表达式是由逻辑变量和“与”、“或”、“非”三种运算符所构成的算式,这是一种用公式表示逻辑函数的方法。

真值表是由逻辑变量的所有可能取值的组合及其对应的逻辑函数值所构成的表格,这是一种用表格表示逻辑函数的方法。

卡诺图是由表示逻辑变量的所有可能组合的小方格所构成的图形。

对于两个函数:F=f(A1,A2,…,A n)和G=g(A1,A2,…,A n)如果对应于A1,A2,…,A n的任何一组取值,F和G的值都相同,则称F=G,即这两个函数相等。简言之,如果F和G有相同的真值表,则F=G。

二、逻辑代数基本公式和规则

1、基本公式

逻辑函数与数学的函数一样,只是函数值不是“1”就是“0”,运算只有与、或、非三种。和数学函数一样,函数间也有相等的关系,对于逻辑函数相等,就是列出的真值表是相同的,即真值表相同的两个函数一定相等。

⑴交换律:A ?? B = B ? A

A +

B = B + A

⑵结合律:A ?? (B ? C)= (A ?? B)? C

A + (

B + C)= (A + B)+ C

⑶分配律:A ?? (B + C)= A??B + A?C

A + (

B ? C)= (A+B)? (A+C)

⑷吸收律:A + A?? B = A + B

A ?? (A+ B)= A ?? B

A + A?

B = A

A ?? (A + B)= A

⑸反演律(德? 摩根律):AB= A+ B

A = A? B

B

⑹包含律: A B + A C + BC = AB + A C

(A+B)(A+ C)(B+C)=(A+B)(A+ C)

⑺对合律:A= A

⑻重叠律: A + A = A

A ? A = A

⑼互补律:A? A = 0

A+ A = 1

⑽0-1律:0 ? A = 0

0 + A = A

1 ? A = A

1 + A = 1

2、逻辑代数规则

⑴代入规则:任何一个含有变量X的等式,如果将所有出现X的位置,都代之以一个逻辑函数F,此等式仍然成立。

⑵反演(Inversion)规则:当已知一个逻辑函数F,要求F时,只要把F中所有的“ ? ”变成“+”,“+”变成“ ? ”,“0”变成“1”,“1”变成“0”,原变量变成反变量,反变量变成原变量,即得F 。

⑶对偶规则:当已知一个逻辑函数F,如果把F中所有的“ ? ”变成“+”,“+”变成“ ? ”,“0”变成“1”,“1”变成“0”,即得F的对偶式F’。

第二节逻辑函数化简法

一、逻辑函数的变换

对于同一个函数常常有多种不同的写法,这些不同的写法之间的变化称为逻辑函数的变换。逻辑函数的化简是一种特殊的函数变换。

二、“与或”式和“或与”式

多个逻辑变量进行与运算我们称之为与项,多个逻辑变量进行或运算我们称之为或项。几个与项相或构成的式子我们称之为与或式;几个或项相与构成的式子我们称之为或与式。

由于函数有相等的关系,所以对于同一个函数来说,它可以有多种表示方式,其中最常见的函数表达方式是以与或式的形式表示。对于任意一个与或式,一定有一个或与式和它对偶。

三、最小项(Minterm)和最大项(Maxterm)

对于n个变量的逻辑函数来说,它的与项如果包含n个文字,即每个变量以原变量或反变量的形式出现一次且仅出现一次,那么这个与项称为该逻辑函数的最小项。

对于n个变量的逻辑函数来说,它的或项如果包含n个文字,即每个变量以原变量或反变量的形式出现一次且仅出现一次,那么这个或项称为该逻辑函数的最大项。

四、公式法化简

函数的化简是指把函数化为最简的与或式,其判断标准是:

1、与项的个数最少

2、每个与项中变量的个数最少

例1.L = AB + A C+ B C + B C+ B D + B D+ ADE(F+G)

= A (B +C)+ B C + B C+ B D + B D+ ADE(F+G)

B(B C)+ B C + B C+ B D + B D+ ADE(F+G)

= A C

= A + B C + B C+ B D + B D+ ADE(F+G)

= A + B C + B C+ B D + B D

= A + B CD + B C D+ B C+B D + B C D+ BC D

= A + B C+B D + C D

例2.F = (B+ D)(B+ D + A + G)(C + E)(C+ G)(A + E + G)

F‘ = B D + B DAG + CE + C G + AEG

= B D + CE + C G + AEG

= B D + CE + C G

F= (B+ D)(C + E)(C+ G)

五、卡诺图化简法

卡诺图是逻辑函数的一种表示形式,由于一个逻辑函数一定可以写成由若干个最小项构成的形式,并且一个逻辑函数化成的最小项表达式是唯一的,那么我们可以通过对相邻最小项的合并,来完成函数的化简。卡诺图化简法就是遵循这个原则。卡诺

图化简法和公式化简法在功能上的等效的,但是使用卡诺图化简法更直观,更有利于初学者掌握。

利用卡诺图化简的步骤:

1、将逻辑函数写成最小项表达式。

2、画出卡诺图,在卡诺图中填写逻辑函数中出现的最小项。

3、合并相邻最小项成一个与项。

4、将各个合并与项相或。

合并最小项时注意:

1、合并的最小项一定是2n个最小项(形状矩形)

2、相邻包括上下底边相邻,左右边相邻,四角相邻

3、同一小格可以被多次合并,但每次合并时,一定要有一个小格没有被合并过

4、合并的小格尽可能多,合并的项尽可能少。

例1:F(A,B,C,D)=∑m(0,5,7,8,9,10,11,14,15)

F = A B+ AC + A B D + B C D

例2:F(A,B,C,D)= ∑m(0,1,5,7,8,11,14)

+ ∑d(3,9,12,15)

F = A D + B C+ C D + ABC

第三章组合逻辑电路

第一节组合逻辑电路的分析

一、逻辑电路

逻辑电路分为组合逻辑电路(Combinational Logic Circuit)和时序逻辑电路(Sequential Logic Circuit)。

组合逻辑电路是由门电路组合而成的逻辑电路,它的输出与当时的输入逻辑状态有关,而和电路过去的状态无关。

时序逻辑电路是由具有记忆能力的电路和门电路组成的逻辑网络,它的输出与当时的输入逻辑状态有关,而且和电路过去的输入状态有关。 二、组合逻辑电路的分析步骤

1、根据电路列出输出端逻辑表达式

2、化简或变换逻辑表达式

3、列出真值表

4、指出电路的逻辑功能 例1.

逻辑表达式

F = AB B AB A = AB A ·AB B = (A +AB)·(B +AB)

= A B + AB = A ⊙ B

功能:同或门等效电路。

第二节 组合逻辑电路的设计 一、组合逻辑电路的设计步骤: 1、确定设计电路的输入输出变量 2、根据输入输出的关系列出真值表

3、根据真值表得出函数的表达式,并对其进行化简和变换

4、根据表达式画出电路图

例1.设计一个四位二进制数转格雷码的电路。

解:电路有四个输入(X3、X2、X1、X0)表示二进制数,四个输出(Y3、Y2、Y1、Y0)表示格雷码,

得到函数表达式

Y3 = ∑m(8,9,10,11,12,13,14,15)= X3

Y2 = ∑m(4,5,6,7,8,9,10,11)= X32

X+ 3X X2 = X3⊕ X2

Y1 = ∑m(2,3,4,5,10,11,12,13)= X21

X X1

X+ 2 = X2⊕ X1

Y0 = ∑m(1,2,5,6,9,10,13,14)= X10

X+ 1X X0 = X1⊕ X0

电路:

例2.设计一个四位二进制数转格雷码的电路。

解:电路有四个输入(X 3 、X 2 、 X 1 、 X 0)表示格雷码,

四个输出(Y 3 、Y 2 、 Y 1 、Y 0)表示二进制数,

得到函数表达式

Y 3 = ∑m (8,9,10,11,12,13,14,15)= X 3

Y 2 = ∑m (4,5,6,7,8,9,14,15)=X 32X + 3X X 2 = X 3⊕X 2 Y 1 = ∑m (2,3,4,5,8,9,14,15) = 3X 2X X 1 +3X X 21X + X 32X 1X + X 3X 2X 1 = X 3⊕X 2⊕ X 1

Y 0 = ∑m (1,2,4,7,8,11,13,14)

= X3⊕X2⊕X1⊕ X0

电路:

第三节竞争与冒险

一、定义:同一门电路的不同输入端的输入信号不同时进入门电路的现象称为竞争(Race);由竞争产生的干扰现象称为冒险(Hazard)。

二、产生原因:由于门电路的延迟造成的。它分成静态和动态。

三、判断方法:当电路中出现 F = A A或 F = A + A时,出现竞争冒险。

四、消去方法:增加冗余项。

例如:F = A C + B C一定产生竞争冒险,

改成 F = AC + B C+ AB

第四节常用逻辑功能器件

一、译码器(Decoder)

译码器是计算机常用的器件之一,其功能是将具有特定含义的二进制数进行辨别,并转换成控制信号。在计算机中常用译码器实现对指令操作码的译码工作和对存储器单元地址的译码工作。译码器通常有可以分为两种:一种是将一系列代码转换成与之一一对应的有效信号,另一种是将一种代码转换成另一种代码。此处介绍的是第一种译码器。

1、2-4译码器

简单2-4译码器电路图

逻辑函数表达式

F 3 = A ? B

F 2 = A ? B F 1 = A ? B F 0 = A ? B

2、74LS138译码器

74138电路图请参考教材135页图4.2.3 根据电路得出:

设X = G 1A G 2B G 2 ;当 G 1=1 G 2A =0 G 2B = 0 时,74LS138工作。 Y 7 =CBAX Y 6 = X A CB Y 5 = AX B C Y 4 = X A B C Y 3 = BAX C Y 2 = X A B C Y 1 = AX B C Y 0 = X A B C

在使用74LS138时注意:

⑴74LS138是一个3—8译码器

⑵它有三个引脚G 1,G 2A ,G 2B 做片选,即当 G 1G 2A G 2B =100时,74LS138工作。 ⑶它是低电平有效,即总是一个输出引脚为低电平,其他输出引脚为高电平。

⑷输入顺序CBA。例如CBA=011时,Y3 = 0 ,其他都为1。

二、编码器(Encoder)

编码器是计算机常用的器件之一,其功能是将一组数据信息转换成一个特定的代码。

1、4-2 编码器

简单4-2 编码器电路图

逻辑函数表达式

Y1 = I3I2I1I0 + I32I1I0I

Y0 =3I2I I10I+ I32I1I0I

2、优先权(Priority)编码器74148

通过简单的4-2 编码器电路我们发现,如果在某时刻有多个输入为1的时候,编码器的输出端就无法指示输入方向,因此真正应用的编码器必须带有优先权,即优先权编码器。所谓优先权就是给每个输入端设置一个权值,当有多个输入出现时,输出端指示输入优先权最高的输入方向。

74148电路图请参考教材129页图4.1.3

根据电路图得:

A2 = EI + I7I6 I5I4

A1 = EI + I7I65I+ I7I64I+ I7I6I3I2

A0 = EI + I76I+ I7I54I+ I7I5I32I+ I7I5I3I1

EO= EI + 7I+6I+5I+4I+ 3I+2I+1I+0I

GS = EI + I7I6I5I4I3I2I1I0

由此可知,EI是一个片选信号,EI=0,74LS148工作。

当EI=0时,输入端I n中的一个为0,其他都为1时,GS=0,EO=1

当I7 = 0,其他端为1时,A2A1A0 = 000

当I6 = 0,其他端为1时,A2A1A0 = 001

当I5 = 0,其他端为1时,A2A1A0 = 010

当I4 = 0,其他端为1时,A2A1A0 = 011

当I3 = 0,其他端为1时,A2A1A0 = 100

当I2 = 0,其他端为1时,A2A1A0 = 101

当I1 = 0,其他端为1时,A2A1A0 = 110

当I0 = 0,其他端为1时,A2A1A0 = 111

当有多个输入端为0时,输出的结果以输入端标号最大的为准,因此称之为优先权编码器。

当EI=0,输入全1时,GS=1,ES=0,A2A1A0 = 111

由于74LS148的输入以0 为准,输入全 1 是一种不工作的无效状态,所以GS是74LS148的工作状态标志,GS=1表示74LS148非正常工作。

EO是级联端,如果两片74LS148级联工作,高位的EO连入低位的EI。

三、数据分配器

数据分配器是将一个数据源来的数据根据需要送到多个不同的通道上去,以实现数据分配功能的逻辑电路。通常,数据分配器是由译码器构成的。

如图是一个4线的数据分配器,它是由一个2-4译码器构成的。数据分配器事实上只有一个输入X,而S1和S0是两个控制端,通过S1和S0的情况来决定X的数据从哪一个输出端输出。

F3 = X S1 S0;当S1S0 = 11时,F3 = X

F2 = X S10S;当S1S0 =10时,F2 = X

F1 = X1S S0;当S1S0 = 01时,F1 = X

F0 = X1S0S;当S1S0 = 00时,F0 = X

4路数据分配器

四、数据选择器(Multiplexer)74151

数据选择器是一种通过选择,把多个数据源中的一个传送到公共数据通道上,以实现数据选择功能的逻辑电路,它与数据分配器正好相反。

74151是一个8路的数据选择器(74151电路图请参考教材145页图4.3.3)。根据电路得出:

Y = G CBA D7+G CB A D6+G C B AD5+G C B A D4

+G C BA D3+G C B A D2+G C B AD1+G C B A D0

此数据选择器事实上有八个输入,分别是D7、D6、D5、D4、D3、D2、D1和D0,而C、B和A是控制端,通过控制端来决定Y将输出哪一个输入端的数据,而G是一个片选信号,由G的情况决定数据选择器是否工作。当G=1时,Y=0,数据选择器不工作;当G=0时,数据选择器工作。

五、数据比较器(Comparator)

数据比较器是将两个数据进行比较的逻辑电路。如图是一个一位的数据比较器。它有两个输入和三个输出,其中输入端送入将进行比较的两个数据,输出端分别表示F A>B,F A=B, F A

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

《数字逻辑》课程实验教学大纲

《数字逻辑》课程实验教学大纲 一、课程基本信息 1.课程代码:BCim8014 2.课程名称:数字逻辑 3.课程英文名称:Digital Logic 4.课程性质:专业必修课 5.课程适用层次:本科 6.课程使用对象:计算机科学与技术专业 7.总学时:48学时(其中实验12学时) 8.学分:3 9. 先修课程:大学计算机基础、电子电路基础 二、课程概述 《数字逻辑》课程是计算机科学与技术专业基础课程,是计算机组成与结构、微机原理等硬件类课程的先导课程,它对理解计算机的工作原理有十分重要的作用。本课程使学生掌握数字逻辑方面的基本理论、基本知识和基本技能,具有分析数字逻辑电路方面的基本方法以及设计电路的能力,为后续计算机硬件类课程打下基础,也为深入理解计算机的工作原理提供理论及实践基础。 本课程的基本内容: 介绍逻辑设计的理论基础和逻辑电路的分析和设计方法,重点讲述组合逻辑电路和同步时序逻辑电路的分析和设计。 本课程的教学要求: 要求学生掌握数字逻辑的基本概念、基本理论、基本方法,具备一定的对逻辑电路的分析、设计和调试的能力。要求学生能以逻辑代数为工具,熟练掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元进行逻辑分析和设计,并在了解电子设计自动化的基础上,基本掌握数字系统的设计过程。 本课程的先修课是大学计算机基础、电子电路基础。 三、实践教学安排 第三章组合逻辑 实验学时:6 项目1:基本门电路的逻辑功能测试 实验学时:3 实验目的与要求: 1.测试与门、或门、非门、与非门、或非门与异或门的逻辑功能 2.熟悉扩展板与主电路板的连接与使用 3.了解测试的方法与测试的原理 实验主要仪器、设备: 1.数字逻辑电路实验箱 2.数字逻辑电路实验箱扩展板 3.双踪示波器,数字万用表 4.相应74LS系列、或74HC系列芯片

数字设计原理与实践(第四版)课后各章节习题答案

3.11 对图X3.11(a)所示的AOI 电路图,采用AND,OR,INV 画出对应的逻辑图。 解:Z = (A?B + C + D)' 3.12 对图X3.11(b)所示的OAI 电路图,采用AND,OR,INV 画出对应的逻辑图。 解:Z = ((A + B)?C ?D)' 13 画出NOR3 对应的电路图。 解:3 输入端或非门结构应为:上部3 个P 管串联,下部3 个N 管并 联,结构如图所示。 3.15 画出OR2 所对应的电路图。 解:在NOR2 电路的输出端后面级联一个INV。 3.59 画出图X3.59 逻辑图所对应的电路图。 解: 3.21 若输出低电平阈值和高电平阈值分别设置为1.5V 和3.5V,对图X3.21 所示的反相器特性,确定高态与低态的DC 噪声容限。解:由图中可以看到,输出3.5V 对应的输入为2.4V,输出1.5V 对应的输入为2.5V;所以,高态噪声容限为:3.5-2.5=1 V ;低态噪声

容限为:2.4-1.5=0.9 V。 3.26 利用表3-3 计算74HC00 的p 通道和n 通道的导通电阻。解:采用极端值计算(对商用芯片,最低电源电压设为 4.75V)表中所列输出电压与电流关系如图所示: 根据电流定律,高态输出时可以建立下列方程: p n R R 0.35 0.02 = 4.4 ? ? ? ? ?? ? ? ? p n R R 0.91 4 = 3.84 ? ? ? ? ?? ? ? ? 联立求解可得:R = 0.151kΩ = 151Ωp 低态输出时可以建立下列方程: n p R R 0.1 0.02 = 4.65 ? ?? ? ??? ?

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

数字逻辑_习题四_答案

习题四部分习题参考答案 4.1 将下列函数简化,并用与非门和或非门画出逻辑电路图。& (3)C B C A D C A B A D C B A F +++=),,,( 解:化简得F=C B C A B A ++ F 的与非式为:F=C B C A B A ?? ,逻辑电路图如图1所示。 F 的或非式为:F=C B A C B A C B A ABC F +++++=+=,逻辑电路 图如图2所示。 图1 图2 4.3分析图4.59所示的逻辑电路图,并说明其逻辑功能。 解:(1)由逻辑电路图写出逻辑表达式并化简可得: D C D B D C D B F D BC D C B D C A D BC D C B D C A F CD ABD CD ABD F +=?=++=??=+=?=012 (2)根据逻辑表达式,其逻辑功能如表所示。 1 C 1 & 1 & & & & & & A B ≥1 0 ≥1 ≥1 ≥1 A C B ≥1 ≥1 F

由真值表可知,DCBA 表示的二进制数,当该值小于等于5,F0=1,当当该值小于等于10,大于5,F1=1,当该值小于等于15,大于10,F2=1。 4.4 试分析图4.60 所示的码制转换电路的工作原理 答:①写出逻辑表达式 001G B B =⊕ 112G B B =⊕ 223G B B =⊕ 33G B = D C B A F2 F1 F0 输 入 输 出 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 0 0 1 0 0 1 1 1 0 1 0 1 0 0 0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 0 1 0 1 0 1 1 1 0 0 1 1 0 0 1 0 0 1 1 0 1 1 0 0 1 1 1 0 1 0 0 1 1 1 1 1 0 0

数字系统与逻辑设计课程教学大纲

《数字系统与逻辑设计》课程教学大纲 课程名称:数字系统与逻辑设计课程代码:TELE1005 英文名称:Digital System and Logic Design 课程性质:专业必修课程学分/学时:3.5/72 开课学期:第3学期 适用专业:微电子科学与工程、电子科学与技术等 先修课程:电路分析 后续课程: 开课单位:电子信息学院课程负责人:黄旭 大纲执笔人:黄旭大纲审核人:X 一、课程性质和教学目标(在人才培养中的地位与性质及主要内容,指明学生需掌握知识与能力及其应达到的水平) 课程性质:本课程是通信工程、信息工程、电子信息工程等电子信息类专业的一门重要专业基础课,是通信工程专业的必修主干课。 教学目标:本课程主要讲授数字逻辑的基本知识及数字逻辑电路的分析方法和设计方法。通过理论教学与实验教学相结合,使学生能建立数字系统完整的总体概念,掌握数字逻辑电路的基本概念、基本分析方法和设计方法以及若干典型的中、小规模集成电路的功能及应用,具备一定的数字电路分析和设计能力,培养学生分析问题和解决问题的能力,为后续课程打下理论和技术基础。本课程的具体教学目标如下: 1、掌握逻辑代数和数字逻辑电路的基础知识,能将其用于实际工程问题的分析。【1.4】 2、具备对数字逻辑器件的特性和功能进行分析的能力,能够对组合逻辑电路和时序逻 辑电路进行描述和分析,能够分析典型脉冲电路、半导体存储器以及数模和模数转换电路的结构和原理,并针对实际工程问题和应用对象进行器件和参数的选择。【2.2】 3、具备对数字逻辑电路进行初步设计的能力,能运用基本原理和方法,根据设计要求完 成数字逻辑电路(组合逻辑电路、时序逻辑电路)的设计。【3.2】 4、通过实验教学,能够对数字逻辑电路的相关知识和方法进行研究与实验验证。【3.2】 二、课程目标与毕业要求的对应关系(明确本课程知识与能力重点符合标准哪几条毕业要求指标点)

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

数字逻辑 习题与答案.(优选)

习题与答案 《数字逻辑与数字系统(第四版)》,白中英 第1章习题P30 7 证明下列等式 (2) AC AB C AB C B A ABC +=++ 证明: AB AC ABC C AB C B A ABC +=+++=左式 8 用布尔代数简化下列各逻辑函数表达式 (4) C AB C B BC A AC F +++= 解: BC BC BC A C B A BC C B A BC A ABC C B A C B BC A AC F =+=++=+++=++++=) () )(())()(( 9 将下列函数展开为最小项表达式 (1) )(),,(C B A C B A F += 解: ∑=+++++=+++++=+++=+++=+=() 7,6,5,4,1()()()()(),,(m C B A C B A C B A C B A C AB ABC C B A C B A C C B A C C AB C B A C B A B A AB C B A A B B A C B A C B A F 10 用卡诺图化简下列各式 (2) C B A D A B A D C AB CD B A F ++++= 解: C 由卡诺图知,D A B A F +=

(4) ∑∑ +=?)11,10,9,3,2,1()15,14,13,0(),,,(m D C B A F 解: A C AD AC B A F ++= 12 逻辑函数 A C C B B A X ++=,试用真值表、卡诺图、逻辑图、波形图表示该函数。 解:(1)真值表 (2)卡诺图 (3)逻辑图 (4)波形图 14 输入信号A ,B ,C 的波形如图P1.2所示,试画出电路输出F1、F2的波形图 B F C B A

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑武汉工程大学第四版

武汉工程大学数学逻辑答案 第3章 组合逻辑电路 3.1解题指导 例3-1 试写出图3-1所示电路输出F 的表达式。74148为优先编码器。其功能见表3-1所示。 表3-1 74148的真值表 解:图3-1中电路的74148的70~I I 虽然都接地,但只对7I 编码,74151的A 2A 1A 0等于74148的012A A A 等于000,使F =D 0=A 。 例3-2 试分析图3-2所示电路的逻辑功能。 图3-1 例3-1逻辑图 B A 0 5图3-2 例3-2 的逻辑图

解:题示电路中74138的A 2=0,使74138变成2线-4线译码器。AB =00时,00=F 1321===F F F 。 若此时CD =00,则F =D 0=0;而CD ≠00时,F ≠D 0,F =1。故该电路的功能为AB =CD 时,输出F =0,AB ≠CD 时,F =1。 例3-3人类有四种基本血型—A 、B 、AB 、O 型。输血者与受血者的血型必须符合下述原则:O 型血可以输给任意血型的人,但O 型血只能接受O 型血;AB 型血只能输给AB 型,但AB 型能接受所有血型;A 型血能输给A 型和AB 型,但只能接受A 型或O 型血;B 型血能输给B 型和AB 型,但只能接受B 型或O 型血。试用与非门设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路。如果输血者与受血者的血型符合规定电路输出“1”(提示:电路只需要四个输入端。它们组成一组二进制代码,每组代码代表一对输血—受血的血型对)。 解:用变量A 、B 、C 、D 表示输血者、受血者的血型对作为输入变量,用F 表示血型是否符合作为输出变量。得到血型与二进制数间的对应关系,从而得到真值表如表3-2所示。 血型与二进制数对应关系 表 由真值表画出卡诺图如图3-3所示。 由卡诺图得表达式如下:C B CD D A B A C B CD D A B A F ???=+++= 由表达式画出逻辑图如图3-4所示。 B O A B

数字逻辑教学大纲

《数字逻辑》 教学大纲 哈尔滨师范大学 计算机科学与信息工程学院

《数字逻辑》 一、课程设置的有关说明 1.数字逻辑课程是计算机科学与技术专业重要的必修课。 2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。 3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。 本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。 4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。 二、具体教学内容 第一章基本知识(4学时) 1.教学目的和教学基本要求: 掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。 2.内容提要: 第一节概述

第二节数制及其转换 第三节带符号二进制数的代码表示 第四节几种常用的编码 3.复习思考题: (1)二、八、十六进制数的转换 (2)8421、2421、余三码的组成 (3)格雷码和二进制转换 第二章逻辑代数基础(12学时) 1.教学目的和教学基本要求: 掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 2.内容提要: 第一节逻辑代数的基本概念 第二节逻辑代数的基本定理和规则 第三节逻辑函数表达式的形式与变换 第四节逻辑函数化简 3.复习思考题: (1)利用逻辑代数基本公式对逻辑函数化简。 (2)化简逻辑函数为最小项之和形式。 (3)利用卡诺图法化简逻辑函数公式。 第三章集成门电路与触发器(12学时) 1.教学目的和教学基本要求: 了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

《数字逻辑》课程教学活动大纲

《数字逻辑》教学大纲 一、基本信息 二、课程描述 本课程为专业限定选修课,主要面向计算机科学与技术、网络工程、软件工程、信息安全等专业本科低年级学生。主要目的是使学生掌握数字逻辑电路的基本概念和分析、设计方法,作为专业前导课程,为以后的专业核心课程《计算机组成结构》及其他硬件类课程《微机原理和接口技术》、《嵌入式系统开发技术》等的学习打下良好的基础。 本课程是为缺少电路原理、模拟电子技术等先修课程的计算机与信息学科偏软类专业开设,其要求和难度略低于电子信息学科偏硬类专业,通过该课程的学习使学生掌握数字逻辑电路的应用和发展及逻辑代数等基本知识,重点掌握组合逻辑电路和同步时序逻辑电路的分析和设计等基本方法,使学生具有一定的数字逻辑电路设计能力。另外,使学生了解可编程逻辑器件和现代数字系统设计方法,初步掌握运用EDA工具及硬件描述语言进行简单数字逻辑设计,紧跟市场和技术前沿。

三、教学目标 通过本课程的理论教学和相关实验训练,使学生具备如下能力: 1、掌握基本的逻辑代数知识,能够运用物理知识理解二极管、三极管、集成逻辑门和可编程逻辑器件的基本原理。 2、能够运用逻辑代数方法表达、求解和优化实际数字电路问题, 3、能够分析小规模、中规模组合逻辑电路和时序逻辑电路,掌握各种逻辑门、基本触发器、中规模集成器件的功能及基本应用。 4、能够利用逻辑门、基本触发器、中规模集成器件和可编程逻辑器件设计一定功能的组合逻辑电路和时序逻辑电路,并进行优化。 5、能够应用专业EDA软件设计一定功能的数字系统,并能进行仿真和验证。 四、课程目标对毕业要求的支撑

五、教学内容 第1章绪论(支撑课程目标1) 重点内容:数制和编码的概念,各种不同数制间的转换方法,二进制的运算及原、反、补码数的表示及转换,二-十进制代码(BCD代码)。 难点内容:建立模拟信号和数字信号的概念,二进制的运算及原、反、补码数的表示。 教学内容:掌握数制及其转换,编码的概念,了解常用码的一些应用,熟悉数字编码的转换。 1.1数字电路逻辑设计概述 1.2数制及其转换 1.3二-十进制代码(BCD代码) 1.4算术运算与逻辑运算 第2章逻辑函数及其简化(支撑课程目标1、2) 重点内容:逻辑代数的各种表达形式,逻辑代数的三个规则和常用公式,逻辑代数的化简方法,卡诺图法。 难点内容:简单逻辑命题建立逻辑函数的方法。

数字逻辑实验报告

数字逻辑实验报告:加法器

安徽师范大学 学院实验报告 专业名称软件工程 实验室 实验课程数字逻辑 实验名称加法器实验姓名 学号 同组人员 实验日期 2013.3.26

注:实验报告应包含(实验目的,实验原理,主要仪器设备和材料,实验过程 和步骤,实验原始数据记录和处理,实验结果和分析,成绩评定)等七项内容。具体内容可根据专业特点和实验性质略作调整,页面不够可附页。 实验目的:学会使用实验箱搭建基本组合逻辑电路。 实验原理:全加器是中规模组合逻辑器件,它实现二进制数码的加法运算,是计算机中最基本的运算单元电路。一位加法器有三个输入端Ai 、B i 、C i -1,即被加数,有两个输出端S i 和B i 即相加及向高一位的进位输出。 (全加真值表) Si=A i B i C i -1+A i B i C i -1+A i B i C i -1+A i B i C i -1 C i =A i B i +A i C i -1+B i C i -1 全加器主要用于数值运算;另外,全加器还可以实现组合逻辑函数。 主要仪器设备和材料:数字逻辑电路实验装置、芯片 74LS32、芯片 74LS08、 芯片74LS86,导线 实验过程和步骤: ①关闭实验箱的电源开关,将三个芯片正确地安装在实验箱装置上; ②分别用三根导线将三个芯片的第14号引脚与实验箱左下角的+5V 连接起来,,再分别用三根导线将三个芯片的第7号引脚与实验箱左下角的GND 连接 Ai B i C i -1 S i B i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

数字逻辑与数字系统设计课程大纲

“数字逻辑与数字系统设计”教学大纲 课程编号:OE2121017 课程名称:数字逻辑与数字系统设计英文名称:Digital Logic and Digital System Design 学时:60 学分:4 课程类型:必修课程性质:专业基础课 适用专业:电子信息与通信工程(大类)开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院 一、课程的教学任务与目标 数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。 要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA 设计工具,培养学生设计较大规模的数字电路系统的能力。 本课程教学特点和主要目的: (1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。 (2)使学生掌握经典的数字逻辑电路的基本概念和设计方法; (3)掌握当今EDA工具设计数字电路的方法。 (4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通

数字逻辑第二章作业答案

第二章部分习题答案:(第四版) 2.1 (e) 10100.11012 =14.D16(f) F3A516 =11110011101001012 (i) 101111.01112 =57.348 2.2 (a) 12348 =0010100111002 =29C16 (e) 7436.118=111100011110.0010012=F1E.2416 2.3 (b) 7E6A16=1111110011010102=771528 (f) DEAD.BEEF16=1101111010101101.10111110111011112=157255.5756748 2.5 (e) 10100.11012=20.812510 (j) 15C.3816 =348.2187510 2.6 (b) 348910=66418 (f) 2385110=5D2B16 2.9(b) 57734 + 1066 61022 2.10(c) F35B + 27E6 11B41 2.11 decimal +25 +120 +82 -42 -6 -111 signed-magnitude 00011001 01111000 01010010 10101010 10000110 11101111 two’s-complement 00011001 01111000 01010010 11010110 11111010 10010001 ones’-complement 00011001 01111000 01010010 11010101 11111001 10010000 2.12 (b) 10011110, overflow not occurs (c) 10001110, overflow occurs (d) 10000000, overflow occurs 2.16 1264843010=COFFEE16 2.18 ( a) r>6; (b)r=8; (c) r>3; (d) r=5; (e) r=4; (f)r=6;

数字逻辑设计实验报告-实验13教材

浙江大学城市学院实验报告 课程名称 数字逻辑设计实验 实验项目名称 实验十二 数据选择器应用 学生姓名 专业班级 学号 实验成绩 指导老师(签名 ) 日期 注意: ● 务请保存好各自的源代码,已备后用。 ● 完成本实验后,将实验项目文件和实验报告,压缩为rar 文件,上传ftp 。如没有个人 文件夹,请按学号_姓名格式建立。 ftp://wujzupload:123456@10.66.28.222:2007/upload ● 文件名为:学号_日期_实验XX ,如30801001_20100305_实验0 1 一. 实验目的和要求 1. 掌握数据选择器的逻辑功能和使用方法。 2. 学习用数据选择器构成组合逻辑电路的方法。 二. 实验内容、原理及实验结果与分析 1、用74LS151实现逻辑函数 要求实现BC A AC C B A Y ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。 计算得到m0=m7=0,m2=m4=m5=m6=1,m1=m3=D (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

(3)组合输出信号 (4)配置管脚 (5)下载到FPGA

(6) 74LS151的输入端接逻辑电平输出(拨位开关),输出端Z 接逻辑电 平显示(发光二极管)。逐项测试电路的逻辑功能,记录测试结果。 2、用74LS151实现逻辑函数 要求实现逻辑函数C B CD A B A F ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。芯片插法,电源、地线接法与实验内容1相同,这里只需要自己实现逻辑函数,然后连线实现其功能。 (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

《数字逻辑》课程教学大纲

《数字逻辑》课程教学大纲 Digital Logic 课程编号:130301047 学时:48学分:3 适用对象:软件工程、软件工程卓越班、计算机科学与技术、网络工程、物联网工程、医学信息工程、数字媒体技术(理科) 先修课程:计算机基础;离散数学;大学物理;电路原理;模拟电子线路 一、课程的性质和任务 《数字逻辑》把数字电路和逻辑设计有机地联系起来,作为基础,较为深入地阐述了基本数字集成电路的工作原理和电气特性,着重讨论了逻辑电路的基本单元(门电路和触发器),也讨论了中、大规模集成电路及其应用,介绍了一些近年迅速发展起来的器件和电路,同时讨论了作为数字电路与逻辑设计数学基础的逻辑代数及其化简方法。作为重点,系统地讨论了组合逻辑电路、同步时序逻辑电路、异步时序逻辑电路的分析和设计方法;并介绍了采用大规模可编程逻辑器件的数字系统设计的新方法。通过本课程的学习,为学习后继课程打下良好基础,也为学生毕业后从事电子学、通信技术、自动控制、计算机应用等方面的科学研究和技术工作打下良好的基础。 二、教学目的与要求 《数字逻辑》是计算机科学与技术专业的一门专业技术基础课,是实践性很强的课程。通过本课程的教学,让学生了解数字逻辑电路的基本内容,掌握最基本的数字逻辑分析和设计方法。使学生获得电子技术方面的基本理论、基本知识和基本技能,培养学生分析问题和解决问题的能力,为以后进一步深入学习计算机组成原理、可编程逻辑等打好基础。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计。 三、教学内容 第一章:数字逻辑基础 基本内容: 1.1概述 1.1.1模拟量与数字量 1.1.2数字电路的分类 1.1.3数字电路的特点 1.1.4脉冲与脉冲参数 1.2数制与码制 1.2.1数制 1.2.2数的表示方法 1.2.3数制间的转换 1.2.4常用编码 1.3逻辑代数基础 1.3.1逻辑代数中的三种基本运算 1.3.2逻辑函数及其表示方法 1.3.3逻辑代数基本定律及常用公式 1.3.4逻辑函数的公式法化简 1.3.5逻辑函数的卡诺图化简 1.3.6具有无关项的逻辑函数及其化简 教学基本要求:

相关文档
相关文档 最新文档