文档库 最新最全的文档下载
当前位置:文档库 › 数字电路实验讲义

数字电路实验讲义

数字电路实验讲义
数字电路实验讲义

实验一:集成逻辑门电路的测试与使用

一. 实验目的:

1.学会检测常用集成门电路的好坏的简易方法;

2.掌握TTL 与非门逻辑功能和主要参数的测试方法; 3.掌握TTL 门电路与CMOS 门电路的主要区别。

二. 实验仪器与器件:

1.元器件:74LS20、74LS00(TTL 门电路),4011(CMOS 门电路); 2.实验仪器:稳压电源、万用表、数字逻辑实验测试台。

三. 实验原理:

1.集成逻辑门电路的管脚排列:

(1) 74LS20(4输入端双与非门):Y= ABCD

V

2A 2B N 2C 2D 2Y

1A 1B N C 1C 1D 1Y GND

V CC :表示电源正极、GND :表示电源负极、N C :表示空脚。

(2) 74LS00(2输入端4与非门):Y= AB

V 4A 4B 4Y 3A 3B 3Y

1A 1B 1Y 2A 2B 2Y GND

(3) 4011(2输入端4与非门): Y= AB

V

4A 4B 4Y 3Y 3B 3A

1A 1B 1Y 2Y 2B 2A GND

集成门电路管脚的识别方法:将集成门电路的文字标注正对着自己,左下角为1,然后逆时针方向数管脚。

2.TTL 与非门的主要参数有:

导通电源电流I CCL 、低电平输入电流I IL 、高电平输入电流I IH 、输出高电平V OH 、输出低电平V OL 、阈值电压V TH 等。

注意:不同型号的集成门电路其测试条件及规范值是不同的。

3.检测集成门电路的好坏的简易方法:

(1) 在未加电源时,利用万用表的电阻档检查各管脚之间是否有短路

现象;

(2) 加电源:利用万用表的电压档首先检查集成电路上是否有电,然

后再利用门电路的逻辑功能检查电路。

例如:“与非”门逻辑功能是:“见低出高,同高出低”。

对于TTL 与非门:若将全部输入端悬空测得输出电压小于0.4V ,将任一输入端接地测得输出电压大于3.5V ,则说明该门是好的。

思考:COMS 与非门如何测试。

四. 实验内容和步骤:

1.将74LS20加上+5V 电压,检查集成门电路的好坏。 2.TTL 与非门的主要参数测试:

(1)导通电源电流I CCL = 。

测试条件:V CC =5V ,输入端悬空,输出空载,如图(1)。

图(1) 图(2)

(2)低电平输入电流I IL= 。

测试条件:V CC=5V,被测输入端通过电流表接地,其余输入端悬空,输出空载,如图(2),依次测量每个输入端。

(3)高电平输入电流I IH= 。

测试条件:V CC=5V,被测输入端通过电流表接V CC,其余输入端接地,输出空载,如图(3),每个输入端都测一下。

注意:在测试中万用表应串入电路中,档位选择应由10mA档逐渐减小。

图(3)图(4)3.比较TTL门电路和CMOS门电路的性能:

在下列情况下,用万用表电压档测量图(4)V i2端得到的电压填入表(2):

思考:请回答为何结果不同?

五.实验报告要求:

1.记录本次实验中所得到的各种数据。根据测试数据判断所测与非门的逻辑关系是否正确。

2.思考并回答下列问题:

TTL与非门中不用的输入端可如何处理?各种处理方法的优缺点是什么?CMOS与非门呢?

实验二:组合逻辑电路的设计

一. 实验目的:

1.掌握用门电路设计组合逻辑电路的方法; 2.掌握组合逻辑电路的调试方法。 二.

实验仪器和器件:

1.实验仪器:稳压电源、万用表、数字逻辑实验测试台。

2.元器件:74LS151、74LS04、74LS20、74LS138、74LS125;它们的管脚排列如下:

(1)74LS04(非门): Y= A

1A 1Y 2A

2Y 3A 3Y GND

(2)74LS138(3线—8线译码器):

A 0 A 1 A 2 S 2 S 3 S 1 Y 7 GND

(2)74LS125(三态缓冲器):Y=A (C=0)、Y 为高阻(C=1)

V CC 4C 4A 4Y 3C 3A 3Y

(6)74LS151(八选一数据选择器):

Y=A2A1A0D0+A2A1A0D1+A2A1A0D2+A2A1A0D3+A2A1A0D4+A2A1A0D5+A2A1A0D6+ A2A1A0D7

D3 D2 D1D0Y Y S GND

三.实验原理:组合逻辑电路的设计大致可分为以下几个步骤:

1.根据给定的实际问题的逻辑关系列出真值表;

2.根据真值表写出逻辑函数表达式并化简;

3.根据集成芯片的类型变换逻辑函数表达式并画出逻辑电路图;

4.检查设计的组合逻辑电路是否存在竞争冒险,若有则设法消除。

四.实验内容与步骤:

1.根据组合逻辑电路的设计方法自行设计下列题目:

(1)设计用3个开关控制一个电灯的逻辑电路,要求改变任何一个开关的状态都能控制电灯由亮变灭或者由灭变亮。要求用74LS138和与非门实现。

(2)用三态门和非门设计一个数据传输电路:要求当C=0时传输A路数据、当C=1时传输B路数据。

(3)人的血型有A、B、AB、O 4种。输血时输血者的血型与受血者血型必须符合图(1)中用箭头指示的授受关系。试用数据选择器和非门电路设计一个逻辑电路,判断输血者与受血者的血型是否符合上述规定。(提示:可以用两个逻辑变量的4种取值表示输血者的血型。用另外两个逻辑变量的4种取值表示受血者的血型。)

A A

B B

AB AB

O O

图(1)

2.按拟定的设计方案连接电路,检查无误后,方可接通电源,验证所设计电路的逻辑功能。

3.注意实验中有无异常情况,如有异常情况出现,则对它进行分析并加以解决。

五.实验报告要求:

1.写出设计全过程,画出实验电路图。

2.记录实验验证的结果,讨论实验中出现的异常情况,写出实验心得体会。

实验三:时序逻辑电路的设计

一.实验目的:

1.熟悉MSI计数器的使用方法,学会利用MSI计数器构成N进制计数器;2.熟悉七段显示译码器和数码管的使用方法;

3.掌握电路故障的查找与排除。

二.实验仪器和器件:

1.实验仪器:稳压电源、万用表、数字逻辑实验测试台。

2.元器件:74LS00、74LS191、74LS161、74LS48;它们的管脚排列如下:

(1)74LS191:同步十六进制加/减计数器

Vcc D0 CP I CP O C/B LD D2D3

D1Q1 Q0 S U/D Q2Q3 GND

(2)74LS161:同步十六进制计数器

Vcc C Q0 Q1 Q2 Q3 ET LD

R D CP D0 D1D2 D3 EP GND

(3)74LS48:BCD-七段显示译码器

Vcc f g a b c d e

A1A2 LT BI/RBO RBI A3 A0 GND

三.实验内容与步骤:

1.将74LS191接成8421码十进制加法计数器。

(1)画出连线图,电路输出用译码显示电路表示出来(显示译码器用74LS48,数码管由数字逻辑实验测试台中的提供)。

(2)74LS191的CP脉冲由数字逻辑实验测试台中的单次脉冲开关提供,要求开关每动作一次,数码管的显示将从0→1→2→┄→9循环变化。

2.将74LS191接成8421码十进制减法计数器。

(1)画出连线图,电路输出用译码显示电路表示出来(显示译码器用74LS48,数码管由数字逻辑实验测试台中的提供)。

(2)74LS191的CP脉冲由数字逻辑实验测试台中的单次脉冲开关提供,要求开关每动作一次,数码管的显示将从9→8→7→┄→0循环变化。

(注意:做2时不用重新插线,只要在1的基础上改动几条线就可以了)3.使用两片74LS161设计一个六十进制计数器。

(1)画出连线图,电路输出直接由数字逻辑实验测试台中的译码显示电路表示出来。

(2)74LS161的CP脉冲由数字逻辑实验测试台中的单次脉冲开关提供,要求开关每动作一次,数码管的显示将从0→1→2→┄→59循环变化。

四.实验报告要求:

1.复习相关MSI计数器的内容。

2.按照实验内容设计并画出电路图和接线图,自拟具体的测试步骤。

3.排除实验中出现的异常现象,分析原因。

4.思考题:使用两片74LS161实现六十进制计数器共有几种方法?画出对应的电路连接图。

实验四:脉冲信号的产生与变换

一.实验目的:

1.熟悉RC环形振荡器的使用,

2.熟悉555集成定时器的使用,

3.掌握用示波器对输出波形进行定性和定量分析的方法。

二.实验仪器和器件:

1.实验仪器:稳压电源、万用表、示波器、数字逻辑实验测试台。

2.元器件:74LS04、555定时器,电阻、电容若干;它们的管脚排列如下:555定时器:

GND TR OUT R D

三.实验原理:

555定时器是模拟功能和数字逻辑功能相结合的一种双极型中规模集成电路器件。

555定时器包括放电三极管、复位三极管、电压比较器A1和A2、R-S触发器、输出缓冲级,以及由三个5kΩ电阻组成的分压器。比较器的参考电压从分压器电阻上取得,分别为2Vcc/3和Vcc/3。高电平触发端6接比较器A1的同相端,低电平触发端2接比较器A2的反相端,分别作为阀值端和外触发输入端,用来启动电路,复位端4为低电平时,电路输出为低电平,不用时应接至Vcc 电源端。控制电压端5可以在一定范围内调节比较器的参考电压,不用时将它与地之间接0.01μF电容器,以防止干扰电压引入。利用这种定时器只须外接RC 电路就可构成单稳态触发器、多谐振荡器、施密特触发器等,应用广泛而灵活。

四.实验内容与步骤:

1.RC环形振荡器;

图(1)

按图(1)连接检查无误后,方可接上电源。

(1)用示波器观察振荡器的振荡波形且测出振荡周期;

(2)断开A、B加入电位器R W,用示波器测出振荡周期可调范围填入表(1)中:

2.555多谐振荡器:

图(2)

按图(2)连接检查无误后,方可接上电源。

用示波器观察输出端(3脚)有无矩形波。

3、555单稳态触发器:

图(3)

按图(3)连接检查无误后,方可接上电源。

注:V i来源于图(2)的输出波形。

五.实验报告要求:

1.整理实验测试结果,与理论计算比较;

2.画出观察到的波形,并进行简单地分析和讨论;

3.思考题:在555单稳态触发器中,对输入信号的脉宽有无要求?

实验五:A/D和D/A转换器及其应用

一.实验目的

了解大规模集成电路A/D和D/A转换器的基本结构和特性;通过测试A/D、D/A的转换特性,加深对其基本原理的理解,熟悉它们的使用方法及典型应用。二.芯片简介和引脚排列

1.ADC0809包括一个8位的逐次逼近型的ADC部分,并提供一个8通道的模拟多路开关和联合寻址逻辑。用它可直接输入8个单端的模拟信号,分时进行A/D转换。在多点巡回检测、过程控制等应用领域中使用非常广泛。ADC0809的主要技术指标为:

①分辩率:8位;②单电源:+5V;

③总的不可调误差:±1LSB;④转换时间:取决于时钟频率;

⑤模拟输入范围:单极性0—5V;⑥时钟频率范围:10KHz—1280KHz。

2.DAC0832是8位芯片,采用CMOS工艺和R—2R T形电阻解码网络。转换结果为一对差动电流IO1和IO2输出。其主要性能参数为:

①分辩率:8位;②单电源:+5V — +15V;

③转换时间:1us;④参考电压:+10V — -10V;

⑤满刻度误差:±1LSB ⑥数据输入电平与TTL电平兼容。

三.实验内容

1.ADC0809实验电路

图(1)

如图(1)所示。因ADC0809芯片不带时钟发生器,采用“555”定时器组成一个多谐振荡器提供时钟信号。将ADC0809的第6、7、22脚连在一起,就能使ADC0809连续进行A/D转换。

在ADC0809芯片中有一8路单端模拟信号输入的多路开关,通过ADD-A、ADD-B、ADD-C(芯片的25、24、23脚)这三端地址译码器来选中一路开关接通,相应的模拟信号送入A/D转换器。

将23、24、25脚分别接开关作为输入;将D0—D7(8、14、15、17、18、19、20、21脚)分别接指示灯作为输出。

2.DAC0832实验电路

图(2)

如图(2)所示。DAC0832是工作在直通方式下。

四.实验任务与步骤

1.A/D转换

(1)按图(1)连接实验电路。

(2)按表1所示的地址译码与输入选通的关系选择模拟信号输入通路,方法是闭合或打开C、B、A。

(3)观察D0—D7(灯亮为1、灯灭为0),将实测结果填入表1。

(1)按图(2)连接实验电路。

(2)将开关D0—D7拔到“0”,观察DAC输出的运放反相放大器输出是否为0。(3)将开关D0—D7拔到“80”,调节50K电位器使输出电压为2.5V。

(4)按表2所列输入数字信号,用万用表测试数模转换电压,并将测量结果填入表2中。

五.实验报告要求:

整理实验测试结果,与理论计算比较;分析产生误差的原因。

实验六:序列信号发生器的设计(设计性)

一、实验目的

1.熟悉综合运用所学知识设计数字系统的方法;

2.熟悉合理选用数字集成电路的方法;

3.提高电路布局、检查和排除故障的能力;

4.培养如何正确选用测试仪器对数字系统进行正确测试的能力。

二、预习与参考

1.如何用555定时器设计多谐振荡器;

2.查阅集成手册,挑选所需的计数器和数据选择器;

3.如何用中规模计数器实现任意进制计数器;

4.如何用中规模数据选择器实现电子开关;

5.结合教学内容和实验要求,认真设计实验电路。

三、设计指标

多谐振荡器的输出频率为1Hz,允许波动10%。

四、实验要求(设计要求)

1.用555定时器设计一个多谐振荡器,输出频率为1Hz;

2.使用中规模集成器件(计数器和8选1数据选择器)设计一个输出序列为01001100010111的序列信号发生器;

3.将多谐振荡器的输出作为序列信号发生器的时钟输入;

4.用仿真软件Multisim:画出相应的设计电路、调试、观察输出结果。

五、实验设备:微机和仿真软件Multisim

六、调试及结果测试

1.用仿真示波器观察多谐振荡器的输出波形是否满足要求,若不满足,可改变电阻或电容的大小。

2.在序列信号发生器的输出端接一仿真灯,观察灯的变化是否符合题目要求;若不符合,请修改所设计的电路,直到符合

题目要求为止。

七、考核形式

考核成绩=课堂验收仿真结果×60%+实验报告×40%

八、实验报告要求

1.题目名称;

2.任务和要求;

3.原理电路的设计:

(1)单元电路的设计和元器件的选择;

(2)画出完整的电路图,并说明主要工作原理。

4.在调试过程中遇到过哪些问题,你是如何解决的?

5.整理好测试数据,并分析是否满足要求。

6.有哪些收获、体会和建议?

九、思考题

如果对多谐振荡器的频率稳定度要求较高,则可将555定时器多谐振荡器改成石英晶体振荡器(石英晶体的固有谐振频率为1MHz),则电路应该如何修改,才能得到1Hz的频率信号。画出相关的电路。

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电路 组合逻辑电路设计 实验报告演讲稿.doc

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。

测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字电子技术实验讲义(试用)

数字电子技术实验 简要讲义 适用专业:电气专业 编写人:于云华、何进 中国石油大学胜利学院机械与控制工程学院 2015.3

目录 实验一:基本仪器熟悉使用和基本逻辑门电路功能测试 (3) 实验二:小规模组合逻辑电路设计 (4) 实验三:中规模组合逻辑电路设计 (5) 实验四:触发器的功能测试及其应用 (7) 实验五:计数器的功能测试及其应用 (8) 实验六:计数、译码与显示综合电路的设计 (9)

实验一:基本仪器熟悉使用和常用门电路逻辑功能测试 (建议实验学时:2学时) 一、实验目的: 1、熟悉实验仪器与设备,学会识别常用数字集成芯片的引脚分配; 2、掌握门电路的逻辑功能测试方法; 3、掌握简单组合逻辑电路的设计。 二、实验内容: 1、测试常用数字集成逻辑芯片的逻辑功能:74LS00,74LS02,74LS04,74LS08,74LS20,74LS32,74LS86等(预习时查出每个芯片的逻辑功能、内部结构以及管脚分配)。 2、采用两输入端与非门74LS00实现以下逻辑功能: ① F=ABC ② F=ABC③ F=A+B ④ F=A B+A B 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容)主要包括: 1、实验电路设计原理图;如:实现F=A+B的电路原理图: 2、实验真值表; 3、实验测试结果记录。如: 输入输出 A B F3 00灭

四、实验总结: (学生根据自己实验情况,简要总结实验中遇到的问题及其解决办法)注:本实验室提供的数字集成芯片有: 74LS00, 74LS02,74LS04,74LS08,74LS20,74LS32,74LS74,74LS90,74LS112, 74LS138,74LS153, 74LS161 实验二:小规模组合逻辑电路设计 (建议实验学时:3学时) 一、实验目的: 1、学习使用基本门电路设计、实现小规模组合逻辑电路。 2、学会测试、调试小规模组合逻辑电路的输入、输出逻辑关系。 二、实验内容: 1、用最少的门电路设计三输入变量的奇偶校验电路:当三个输入端有奇数个1时,输出为高,否则为低。(预习时画出电路原理图,注明所用芯片型号) 2、用最少的门电路实现1位二进制全加器电路。(预习时画出电路原理图,注明所用芯片型号) 3、用门电路实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。要求如下:人类由四种基本血型:A、B、AB、O 型。输血者与受血者的血型必须符合下述原则: O型血可以输给任意血型的人,但O型血的人只能接受O型血; AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血; A 型血能给A型与AB型血的人;但A型血的人能够接受A型与O型血; B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。 试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型(预习时画出电路原理图,注明所用芯片型号) 三、实验步骤:(学生根据自己实验情况简要总结步骤和内容),与实验一说明类似。

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

数字电路实验讲义

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。

(1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电路实验指导书

数字逻辑电路 实验指导书 师大学计算机系 2017.10 . .

数字逻辑电路实验 Digital Logic Circuits Experiments 一、实验目的要求: 数字逻辑电路实验是计算机科学与技术专业的基础实验,与数字逻辑电路理论课程同步开设(不单独设课),是理论教学的深化和补充,同时又具有较强的实践性,其目的是通过若干实验项目的学习,使学生掌握数字电子技术实验的基本方法和实验技能,培养独立分析问题和解决问题的能力。 二、实验主要容: 教学容分为基础型、综合型,设计型和研究型,教学计划分为多个层次,学生根据其专业特点和自己的能力选择实验,1~2人一组。但每个学生必须选做基础型实验,综合型实验,基础型实验的目的主要是培养学生正确使用常用电子仪器,掌握数字电路的基本测试方法。按实验课题要求,掌握设计和装接电路,科学地设计实验方法,合理地安排实验步骤的能力。掌握运用理论知识及实践经验排除故障的能力。综合型实验的目的就是培养学生初步掌握利用EDA软件的能力,并以可编程器件应用为目的,培养学生对新技术的应用能力。初步具有撰写规技术文件能力。设计型实验的目的就是培养学生综合运用已经学过的电子技术基础课程和EDA软件进行电路仿真实验的能力,并设计出一些简单的综合型系统,同时在条件许可的情况下,可开设部分研究型实验,其目的是利用先进的EDA软件进行电路仿真,结合具体的题目,采用软、硬件结合 的方式,进行复杂的数字电子系统设计。 . .

数字逻辑电路实验 实验1 门电路逻辑功能测试 实验预习 1 仔细阅读实验指导书,了解实验容和步骤。 2 复习门电路的工作原理及相应逻辑表达式。 3 熟悉所用集成电路的引线位置及各引线用途。 4 熟悉TTL门电路逻辑功能的测试。 5 了解数字逻辑综合实验装置的有关功能和使用方法。 实验目的 1 熟悉数字逻辑实验装置的有关功能和使用方法。 2 熟悉双踪示波器的有关功能和使用方法。 3 掌握门电路的逻辑功能,熟悉其外形和外引线排列。 4 学习门电路的测试方法。 实验仪器 1 综合实验装置一套 2 数字万用表一块 3 双踪示波器一台 4 器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 两输入端四异或门1片 74LS04 六反相器1片 实验原理说明 数字电路主要研究电路的输出与输入之间的逻辑关系,这种逻辑关系是由门电路的组合来实现的。门电路是数字电路的基本单元电路。门电路的输出有三种类型:图腾柱输出(一般TTL门电路)、集电极开路(OC门)输出和三态(3S)输出。它们的类型、逻辑式、逻辑符号与参考型号见表1-0。门电路的输入与输出量均为1和0两种逻辑状态。我们在实验中可以用乒乓开关的两种位置表示输入1和0两种状态,当输入端为高电平时,相应的输入端处于1位置,当输入端为低电平时,相应的输入端处于0位置。我们也可以用发光二极管的两种状态表示输出1和0两种状态,当输出端为高电平时,相应的发光二极管亮,当输出端为低电平时,相应的发光二极管不亮。我们还可以用数字万用表直接测量输出端的电压值,当电压值为3.6V左右时为高电平,表示1状态;当电压值为0.3V以下时为低电平,表示0状态。在实验中,我们可以通过测试门电路输入与输出的逻辑关系,分析和验证门电路的逻辑功能。我们实验中的集成电路芯片主要以TTL集成电路为主。 . .

数字电路全部实验

数字电子技术 实验报告 实验一门电路逻辑功能及测试 (1) 实验二数据选择器与应用 (4) 实验三触发器及其应用 (8) 实验四计数器及其应用 (11) 实验五数码管显示控制电路设计 (17) 实验六交通信号控制电路 (19) 实验七汽车尾灯电路设计 (25) 班级:08030801 学号:2008301787 2008301949 姓名:纪敏于潇

实验一 门电路逻辑功能及测试 一、实验目的: 1.加深了解TTL 逻辑门电路的参数意义。 2.掌握各种TTL 门电路的逻辑功能。 3.掌握验证逻辑门电路功能的方法。 4.掌握空闲输入端的处理方法。 二、实验设备: THD —4数字电路实验箱,数字双踪示波器,函数信号发射器, 74LS00二输入端四与非门,导线若干。 三、实验步骤及内容: 1.测试门电路逻辑功能。 选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平 用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。 用74LS00实现或逻辑。用74LS00实现异或逻辑。 2.按实验要求画出逻辑图,记录实验结果。 3.实验数据与结果 将74LS00二输入端输入信号分别设为信号A 、B 用74LS00实现与逻辑 1A B A B =? 逻辑电路如下:

12 3 74LS00AN 4 5 6 74LS00AN A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下: 1、 用74LS00实现或逻辑 11A B A B A B +=?=???逻辑电路如下

数字电路实验二 半加半减器的设计

实验二半加半减器的设计 一、实验目的 1、利用普通的门电路或使用译码器或使用数据选择器设计一个半加半减器。 二、实验仪器及器件 1、数字电路试验箱,示波器 2、虚拟器件:74LS197,74LS138,74LS00,74LS20,74LS151 三、实验预习 在proteus上进行了仿真实验,通过普通的门电路连接成半加半减器的逻辑电路。 在之后的课上了解了编码器和译码器以及数据选择器。 四、实验原理 1、用普通门电路实现组合逻辑电路 2、用译码器实现组合逻辑电路 译码器是将每个输入的二进制代码译成对应的输出高、低电平信号。 3、用数据选择器实现组合逻辑电路 数据选择器的功能是从一组输入数据中选出某一个信号输出。或称为多路开关。 五、实验内容 首先,根据半加半减器的电路逻辑列出真值表: 输入输出 S A B Y C(进/借位) 74LS138对应输出位置0 0 0 0 0 Y0 0 0 1 1 0 Y1 0 1 0 1 0 Y2 0 1 1 0 1 Y3 1 0 0 0 0 Y4 1 0 1 1 1 Y5 1 1 0 1 0 Y6 1 1 1 0 0 Y7 根据真值表画出Y和C卡诺图: Y: S\AB 00 01 11 10 0 1 1 1 1 1 C: S\AB 00 01 11 10 0 1 1 1 根据卡诺图可得逻辑表达式: Y=A⊕B C=(S⊕A)B

然后,开始在数电实验箱上连接电路,我选择的芯片是:74LS197,74LS00,74LS20,74LS138.对于74LS197,先将CP1接连续脉冲,然后分别将Q1,Q2,Q3接到“0-1”显示器上检查电路是否正常,接着将Q3,Q2,Q1分别接到74LS138的S0,S1,S2作为八进制输入,Q3,Q2,Q1分别代表S,A,B。根据真值表,Y在Y1,Y2,Y5,Y6处有高电平的输出,C在Y3,Y5处有高电平输出,分别将它们接入与非门芯片74LS20、74LS00即可得到Y和C的输出。 最后,将CP1,S,A,B,Y,C接入示波器得到下图: 从上到下分别是CP1,B,A,S,C,Y.

数字电路实验

目录 实验一TTL集成逻辑与非门参数的测试 (2) 实验二组合逻辑电路 (4) 实验三编码器和译码器 (6) 实验四触发器及其应用 (8) 实验五同步计数器测试与扩展 (10) 实验六任意进制计数器 (12) 实验七移位寄存器功能测试及应用 (14) 实验八555集成定时器及应用 (15)

实验一 TTL 集成逻辑与非门参数的测试 一 .实验目的 1、 了解TTL 与非门各参数的意义。 2、 掌握TTL 集成与非门主要参数的测试方法。 3、 掌握TTL 器件的使用规则。 二.实验设备与器材 1、数字逻辑实验箱 1台 2、万用电表 1台 3、集成四-2输入与非门74LS00 1只 三. 实验内容及步骤 1、验证与非门74LS00的逻辑功能 将与非门的两输入端分别接到数字逻辑实验箱的逻辑开关k 1和k 2上,输出端接逻辑指示灯和万用电表上。按表1逐项验证其逻辑功能,测量结果填入表1–1中。 表1 与非门逻辑功能的测试 2、电压传输特性测试 按下图图1完成连线。调节RP,改变Vi 值,按表2的要求逐个设定电压值,读出每个设定值对应的输出值,并描绘电压传输特性曲线。 图1 表2 与非门的电压传输特性 Rp 4.7K Vi (V)

3、测试与非门部分参数测试 4.实验思考题 (1)门电路的带负载能力是什么? (2)测量扇出系数N O的原理是什么?

实验二 组合逻辑电路 一.实验目的 1、掌握用小规模集成电路设计组合逻辑电路的方法。 2、用实验验证所设计电路的逻辑功能。 二、实验设备及器件 1、逻辑实验箱 1个 2、万用表 1个 3、集成芯片74LS283 、74LS00、74LS86 、74LS51 各1片 三、实验内容及步骤 1、集成全加器74LS283功能测试 按表1数据要求,记录测试结果 其中上图: 【1】 C 0与A 4A 3A 2A 1以及 B 4B 3B 2B 1分别接9个不同逻辑开关 【2】 C 4与输出端∑4∑3∑2∑1 分别接5个不同发光二极管 2、门电路74LS86、、74LS00组成半加器 图中A 、B 为数据输入端,S 、C 分别为求和信号、进位信号输出。 B1B2B3B4C0

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数字电路实验讲义

实验一KHD-2型数字电路实验装置的使用和 集成门电路逻辑功能的测试 一、实验目的 1.熟悉和掌握KHD-2型数字电路实验装置的使用。 2.熟悉74LS20和74LS00集成门电路的外形和管脚引线。 3.掌握与门、或门、非门、与非门、或非门和异或门逻辑功能的测试。 二、实验器材及设备 1.KHD-2数字电路实验台 2.4输入2与非门74LS20(1块) 3.2输入4与非门74LS00或CC4011(1块) 三、实验原理 (一)KHD-2型数字电路实验台 KHD-2型数字电路实验台由实验控制屏与实验桌组成。实验控制屏主要由两块单面敷铜印刷线路板与相应电源、仪器仪表等组成。控制屏由两块相同的数电实验功能板组成,其控制屏两侧均装有交流电压220V的单相三芯电源插座。每块实验功能板上均包含以下各部分内容: 1.实验板上装有一只电源总开关及一只熔断器(额定电流为1A)作为短路保护用。 2.实验板上共装有600多个高可靠的自锁紧式、防转、叠插式插座。它们与集成电路插座、镀银针管座以及其他固定器件、线路的连线已设计在印刷线路板上。板正面印有黑线条连接的器件,表示反面已装上器件并接通。 3.实验板上共装有200多根镀银长15mm的紫铜针管插座,供实验时接插小型电位器、电阻、电容、三极管及其他电子器件使用。 4.实验板上装有四路直流稳压电源(±5V、1A及两路0~18V、0.75A可调的直流稳 压电源)。实验板上标有处,是指实验时需用导线将直流电源+5V引入该处,是+5V 电源的输入插口。 5.高性能双列直插式圆集成电路插座18只(其中40P 1只、28P 1只、24P 1只、20P 1只、16P 5只、14P 6只、8P 2只、40P锁紧座1只)。 6.6位十六进制七段译码器与LED数码显示器:每一位译码器均采用可编程器件GAL 设计而成,具有十六进制全译码功能。显示器采用LED共阴极红色数码管(与译码器在反面已连接好),可显示四位BCD十六进制的全译码代号:0、1、2、3、4、5、6、7、8、9、A、B、C、D、E和F。 使用时,只要用锁紧线将+5V在没有BCD码输入时六位译码器均显示“F”。 7.四位BCD码十进制拔码开关组:每一位的显示窗指示出0~9中的任一个十进制数字,在A、B、C、D四个输出插口处输出相对应的BCD码。每按动一次“+”或“ ”键,将顺序地进行加1计数或减1计数。 若将某位拔码开关的输出口A、B、C、D连接在“2”的一位译码显示的输入端口A、B、C、D处,当接通+5V电源时,数码管将点亮显示出与拔码开关所指示一致的数字。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字电路译码器实验报告

一、实验目的与要求 1.了解和正确使用MSI组合逻辑部件; 2.掌握一般组合逻辑电路的特点及分析、设计方法; 3. 学会对所设计的电路进行静态功能测试的方法; 4. 观察组合逻辑电路的竞争冒险现象。 预习要求: (1)复习组合逻辑电路的分析与设计方法; (2)根据任务要求设计电路,并拟定试验方法; (3)熟悉所用芯片的逻辑功能、引脚功能和参数; (4)了解组合逻辑电路中竞争冒险现象的原因及消除方法。 (5)二、实验说明 译码器是组合逻辑电路的一部分。所谓译码就是不代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: 1.二进制译码器:把二进制代码的各种状态,按照其原意翻译成对应输出信号的电路。如中规模2线—4线译码器74LS139,3线—8线译码器74LS138等。 2.二—十进制译码器:把输入BCC码的十个代码译成十个高、低电平信号。 3.字符显示译码器:把数字、文字和符号的二进制编码翻译成人们习惯的形式并直观地显示出来的电路,如共阴极数码管译码驱动的74LS48(74LS248),共阳极数码管译码驱动的74LS49(74LS249)等。 三、实验设备 1.RXB-1B数字电路实验箱 2.器件 74LS00 四2输入与非门 74LS20 双4输入与非门 74LS138 3线—8线译码器 四、任务与步骤 任务一:测试3线—8线译码器74LS138逻辑功能 将一片3线—8线译码器74LS138插入RXB-1B数字电路实验箱的IC空插座中,按图3-15接线。A0、A1、A2、STA、STB、STC端是输入端,分别接至数字电路实验箱的任意6个电平开关。Y7、Y6、Y5、Y4、Y3、Y2、Y1、Y0输出端,分别接至数字电路实验箱的电平显示器的任意8个发光二极管的插孔8号引脚地接至RXB—IB型数字电路实验箱的电源“ ”,16号引脚+5V接至RXB-1B数字电路实验箱的电源“+5V”。按表3-2中输入值设置电平开关状态,观察发光二极管(简称LED)的状态,并将结果填入表中。 根据实验数据归纳出74LS138芯片的功能。 表3-2 3线-8线译码器74LS138功能表

数字电路实验讲义

数字电路实验讲义 目录 1 数字电路实验箱简介 2 实验一基本门电路和触发器的逻辑功能测试 3 实验二常用集成组合逻辑电路(MSI)的功能测试及应用 4 实验三常用中规模集成时序逻辑电路的功能及应用 5 实验四组合逻辑电路的设计 6 实验五时序逻辑电路的设计 7 实验六综合设计实验 8 附录功能常用芯片引脚图

数字电路实验箱简介 TPE系列数字电路实验箱是清华大学科教仪器厂的产品,该实验箱提供了数字电路实验所必需的基本条件。如电源,集成电路接线板,逻辑电平产生电路,单脉冲产生电路和逻辑电平测量显示电路,实验箱还为复杂实验提供了一些其他功能。 下面以JK触发器测试为例说明最典型的测试电路,图1为74LS112双JK触发器的测试电路。其中Sd、Rd 、J、K为电平有效的较入信号,由实验箱的逻辑电平产生电路提供。CP为边沿有效的触发信号,由单脉冲产生电路提供。Q和为电路的输出,接至逻辑电平测量显示电路,改变不同输入的组合和触发条件,记录对应的输出,即可测试该触发器的功能。 逻辑电平测量显示 图1. JK触发器测试电路

实验一 基本门电路和触发器的逻辑功能测试 一、 实验目的 1、掌握集成芯片管脚识别方法。 2、掌握门电路逻辑功能的测试方法。 3、掌握RS 触发器、JK 触发器的工作原理和功能测试方法。 二、实验设备与器件 1、数字电路实验箱 2、万用表 3、双列直插式组件 74LS00:四—2输入与非门 74LS86:四—2输入异或门 74LS112:双J-K 触发器 三、实验原理与内容 1、测试与非门的逻辑功能 74LS00为四—2输入与非门,在一个双列直插14引脚的芯片里封装了四个2输入与非门,引脚图见附录。14脚为电源端,工作时接5V,7脚为接地端,1A ,113和1Y 组成一个与非门, B A Y 111?=。剩余三个与非门类似。按图1—1连接实验电路。改变输信号,测量对应输出, 填入表1—1中,验证其逻辑功能。 测 量 显 示 逻 辑 电 平 图1—1 74LS00测试电路

数字电路实验报告

数字电路实验报告 班级:1403011 学号: 姓名:于梦鸽地点:EII-310 时间:第五批

实验一基本逻辑门电路实验 (一)实验目的 1.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 (二)实验所用器件 二输入四与非门74LS00 1片 (三)实验容 1、测试74LS00逻辑关系接线图 输 入输 出 引脚1引脚3 引脚2 K1 K2 23 LED0 L L L L H H H H 图1.1 测试74LS00逻辑关系接线图表1.1 74LS00真值表 2.用3个三输入端与非门IC芯片74LS10安装如图所示的电路 从实验台上的时钟脉冲输出端口选择两个不同频率(约 7khz和 14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 (四)实验数据结果 1、测试74LS00的逻辑关系 ?逻辑关系连接图

? 真值表 2.测试74LS86的逻辑关系 ? 逻辑关系连接图 ? 真值表 3.测试74LS10的逻辑关系 ? 真值表 输 入 输出 引脚1 引脚2 引脚3 L L H L H H H L H H H L 输 入 输出 引脚1 引脚2 引脚3 L L H L H L H L L H H H S B Y L L 0 L H 0 H L X1 H H X0 LED K1 K2 LED K1 K2

实验二组合逻辑电路部件实验 (一)实验目的: 掌握逻辑电路设计的基本方法 掌握EDA工具MAX-PlusII的原理图输入方法 掌握MAX-PlusII的逻辑电路编译、波形仿真的方法 (二)实验容 1.逻辑单元电路的波形仿真 利用EDA工具的原理图输入法,分别输入74138图元符号;建立74138的仿真波形文件,并进行波形仿真,记录波形;分析74138逻辑关系。 3-8译码器74138的波形仿真 实验数据及结果 2.设计并实现一个3位二进制全加器 输入输出 E A1 A2 Q0 Q1 Q2 Q3 1 ΦΦ 1 1 1 1 0 0 0 1 1 1 0 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 E为允许使能输入线,A1、A2为译码器输入,Q0、Q1、Q2、Q3分别为输出。

数字电路实验指导书选样本

实验一基本门电路 实验类型: 验证 实验类别: 专业主干课 实验学时: 3 所属课程: 数字电子技术 一、实验目的 ( 1) 熟悉常见门电路的逻辑功能; ( 2) 学会利用门电路构成简单的逻辑电路。 二、实验要求: 集成逻辑门电路是最简单、最基本的数字集成元件, 任何复杂的组合电路和时序电路都可用逻辑门经过适当的组合连接而成。本实验要求熟悉74LS00、 74LS02、 74LS86的逻辑功能, 需要查阅集成块的引角图, 并能够利用它们构成简单的组合逻辑电路, 写出设计方案。 三、实验仪器设备及材料 数字电路实验箱 1台; 74LS00、 74LS02、 74LS86各一块 四、实验方案 1、 TTL与非门逻辑功能测试 将四2输入与非门74LS00插入数字电路实验箱面板 的IC插座上, 任选其中一与非门。输入端分别输入不 同的逻辑电平( 由逻辑开关控制) , 输出端接至 LED”电平显示”输入端。观察LED亮灭, 并记录对应 的逻辑状态。按图1-1接线, 检查无误方可通电。 图1-1 表1-1 74LS00逻辑功能表

2、 TTL或非门、异或门逻辑功能测试 分别选取四2输入或非门74LS02、四2输入异或门74LS86中的任一门电路, 测试其逻辑功能, 功能表自拟。 3、若要实现Y=A′, 74LS00、 74LS02、 74LS86将如何连接, 分别画出其实验连线图, 并验证其逻辑功能。 4、用四2输入与非门74LS00实现与或门Y=AB+CD的功能。画出实验连线图, 并验证其逻辑功能。 五、考核形式 检查预习情况占30%, 操作占40%, 实验报告占30%。 六、实验报告 主要内容包括, 对实验步骤, 实验数据、实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、解释、分析总结, 回答思考题, 提出实验结论或提出自己的看法等。 七、思考题 如何处理各种门电路的多余输入端?

相关文档
相关文档 最新文档