文档库 最新最全的文档下载
当前位置:文档库 › 网络数电试卷A及答案

网络数电试卷A及答案

网络数电试卷A及答案
网络数电试卷A及答案

一.填空题(每小题2分,共10分)

1、将二进制数(10011)2转换成十进制数为 ( )10。

2、逻辑门符号

代表的是( )门。

3、二变量逻辑函数Y(AB)一共有( )个最小项。

4、基本RS 触发器有( )个稳定状态。

5、若要构成一个15进制加法计数器至少需要( )个触发器。

二.单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干中。

答案选错或未选者,该题不得分。每小题2分,共10分)

1、8421BCD 码01101001.01110001转换为十进制数是 。

A 、78.16

B 、24.25

C 、69.71

D 、54.56

2、最简与或式的标准是 。

A 、表达式中乘积项最多,且每个乘积项的变量个数最多

B 、表达式中乘积项最少,且每个乘积项的变量个数最多

C 、表达式中乘积项最少,且每个乘积项的变量个数最少

D 、表达式中乘积项最多,且每个乘积项的变量个数最多

3、下表所列真值表的逻辑功能所表示的逻辑器件是 。

?

Y1

A、译码器

B、选择器

C、优先编码器

D、比较器

4、计数器可以由下列电路构成的是。

A、门电路和触发器

B、比较器和选择器

C、触发器和比较器

D、加法器和选择器

5、下列说法错误的是。

A、同步清零受CP脉冲控制

B、同步置数不受CP脉冲控制

C、异步清零不受CP脉冲控制

D、异步置数不受CP脉冲控制

三.逻辑函数化简题(每小题5分,共10分)

1、利用代数法化简逻辑函数ABCD

D

A

C

A

B

A

L+

+

+

=

2、用卡诺图化简逻辑函数L(A,B,C,D)=∑m(0,2,3,4,6,7,10,11,13,14,15)。

四.分析作图题(每小题5分,共10分)

1、 与非门组成的基本RS 触发器如图(a )所示,对应的逻辑符号如图(b )所示,设初始状态Q 为0,已知输

入R 、S 的波形图,画出输出Q 、Q 的波形图(不考虑门电路的延迟时间)。(5分)

G G

1

2

(a)

(b)

R R

S

S

Q

Q

Q

2、555定时器构成的施密特触发器如图(a

)所示,电压传输特性如图(b

)所示,若已知电源电压是U CC ,根据输入电压I u 的波形画出对应输出电压1O u 的波形。(5分)

五.组合逻辑电路分析(10分)

试分析下图所示组合逻辑电路,写出输出函数Y 的表达式,并说明其逻辑功能。

六.组合逻辑电路设计(10分)

用最少的与非门设计一个三人表决电路,结果按“少数服从多数”的原则决定。(写出设计过程,画出电路图)

Y

B

A

七.集成组合电路设计(10分)

试用译码器74138(如下图所示)和必要门电路(自选添加)实现逻辑函数AC BC AB L ++=,写出设计过程,并完成电路图。

八.时序逻辑电路分析(15分)

如图所示,试分析该时序逻辑电路,写出驱动方程及状态方程,并列出状态转换表,画出状态转换图,说明电路的逻辑功能及能否自启动。

九.集成时序电路分析(15分)

由十六进制集成加法计数器74161和与非门组成的时序电路,如图所示。试说明该电路采用的设计方法(反馈

清零还是反馈置数;同步还是异步),实现的逻辑功能,并画出状态转换图。

一 .填空题(每小题2分,共10分)

1、19 ;

2、或非;

3、4;

4、2;

5、4。 二.单项选择题(每小题2分,共10分)

1、C ;

2、C ;

3、C ;

4、A ;

5、B 。 三.逻辑函数化简题(每小题5分,共10分)

1、A BCD BCD A ABCD BCD A ABCD D C B A L =+=+=+++=)()( (5分,中间步骤酌情给分)

2、 (1).卡诺图(3分)

(2).表达式(2分)

ABD

D A C L ++=

四.分析作图题(每小题5分,共10分)

1、图中虚线所示为考虑门电路的延迟时间的情况。

R

S

Q

Q

2、

O O 1

2

I

v 2

t

t

v I

O v 1

2

3C C V C C

1V 3(a)电路图

(b)波形图

v

五.组合逻辑电路分析(10分)

表达式: B A B A B A Y ⊕=+=; 逻辑功能:异或。

六.组合逻辑电路设计(10分)

AC

BC AB AC BC AB L ??=++= (5分)

(5分)

七.常用集成组合电路设计(10分)

ABC C AB C B A BC A L +++==m 3+m 5+m 6+m 7 =????7653m m m m 逻辑图如图所示。

八.时序逻辑电路分析(15分)(中间步骤酌情给分)

状态转换图

电路功能6进制计数器

能自启动

九.集成时序电路分析(15分)(中间步骤酌情给分)

设计方法:异步清零法。

实现功能:6进制计数器

状态转换图

数字电路试卷与答案

电子科技大学二零零六至二零零七学年第二学期期末考试 试卷评分基本规则 数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。 2、DAC的功能是将(数字)输入成正比地转换成模拟输出。 512 EPROM可存储一个(9 )输入4输出的真值表。 3、4 4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。 5、已知二进制原码为( 001101) 2 , 问对应的8-bit的补码为( 00001101)2. 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有(B)个。 A. 2 B. 3 C. 4 D. 5 2、以下描述一个逻辑函数的方法中( C)只能唯一表示。 A.表达式 B.逻辑图 C.真值表 D.波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A. 状态数目更多 B. 状态数目更少 C. 触发器更多 D. 触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。 A. 2 B. 3 C. 4 D.5 5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。 A. F=B’C’+AC+A’B B. F=A’C’+BC+AB’ C. F=A’C’+BC+AB’+A’B D. F=B’C’+AC+A’B+BC+AB’+A’C’

数字电路试卷标准答案

标准答案及评分标准 课程名称:数字电路 适用专业(班级): 课程归属:理工学科部 是否可携带(填写计算器、词典等):计算器 开卷、闭卷:闭卷 学科部主任: 出卷人: ―――――――――――――――――――――――――――――――――― 一.选择题(每小题2分,共20分) 1.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 2.若输入变量A 、B 全为1时,输出F=1,则其输入与输出的关系是 【 B 】 A.异或 B.同或 C.或非 D.与或 3.在下列逻辑电路中,不是组合逻辑电路的是 【 D 】 A. 译码器 B. 加法器 C. 编码器 D.寄存器 4.一个8选一的数据选择器,其地址输入(选择控制输入)端的个数是 【 C 】 A.4 B.2 C.3 D.16 5.最小项ABCD 的逻辑相邻最小项是 【 A 】 A. ABCD B. ABCD C. ABCD D. ABCD 6.同步计数器和异步计数器比较,同步计数器的最显著优点是 【 A 】 A .工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 8.组合逻辑电路通常由【 】组合而成。 【 B 】 A.触发器 B.门电路 C.计数器 D.锁存器 9.8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出0 12Y Y Y ??的值是 【 C 】 A.111 B.010 C.000 D.101 10.逻辑表达式A +B C = 【 C 】 A.A+B B. A+C C.(A+B )(A+C ) D.B+C

精选-数电试卷和答案

电子线路分析与实践2期末复习辅导 2010年10月 练习题 一、填空题 1.(11011)2 =(________)10 2.8421BCD 码的1000相当于十进制的数值 。 3.格雷码特点是任意两个相邻的代码中有_______位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的_________互换,_________互换,_________互换,就得到F 的反函数F 。 5.二极管的单向导电性是外加正向电压时 ,外加反向电压时 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 和 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 。 10. 输出n 位代码的二进制编码器,一般有 __________个输入信号端。 11.全加器是指能实现两个加数和____________三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 。 14.时序逻辑电路中,按照触发器的状态是否同时发生变化可分为 和 。 15.JK 触发器当J =K =________时,触发器Q n+1=Q n 。 16.用555定时器构成的多谐振荡器,若充放电回路中有电阻、电容,则该多谐振荡器形成的脉冲周期T ___0.7(R1+2R2)C ____。 17.A/D 转换需要经过 采样 、 保持 、 量化 和 编码 四个步骤。 18.根据D/A 转换器分辨率计算方法,4位D/A 转换器的分辨率为 6.7% 。 19.DAC 的转换精度包括 分辨率 和 转换误差 。 20.为使采样输出信号不失真地代表输入模拟信号,采样频率f s 和输入模拟信号的最高频率f i max 的关系是 。 21.在A/D 转换时,将一个时间上连续变化的模拟量转换为时间上离散的模拟量的过程称采样。 22.在A/D 转换中,用二进制码表示指定离散电平的过程称为 量化 。 23.CPLD 的含义是 。 二、选择题 1. 十进制数85转换为二进制数为( ) A .1001011 B .1010011 C .1100101 D .1010101 2. 二进制数11011转换为十进制数为( ) A .32 B .27 C .64 D .128 4. 8421BCD 码110011.001表示十进制为( ) A .33.2 B .51.0125 C .63.2 D .51.2 5.在下列一组数中,与2)111001(相等的数是( ) A .16)34( B .(65)8 C . 10 )57(

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)华南理工大学数字电子技术试卷(含答案)

诚信应考,考试作弊将带来严重后果! 华南理工大学期末考试 《数字电子技术》试卷A 注意事项:1. 考前请将密封线内填写清楚; 2. 所有答案请直接答在试卷上(或答题纸上); 3.考试形式: 闭卷; 。 题号一二三四总分 得分 评卷人 一. 单项选择题:(在每小题的备选答案中选出一个正确的答案,并将正确答案 10 题号 123456789 答案 1 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与 或表达式 3. 已知函数的反演式为,其 原函数为()。 A. B . C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的: (A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器

C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8 8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为I OL(max)=10mA,输出高电平时最大输 出电流为I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: _______________________________________________________________; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5.组合逻辑电路是指电路的输出仅由当前的_____________决定。 6.5个地址输入端译码器,其译码输出信号最多应有_____________个。 7.输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做_____________。8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8.基本RS触发器的约束条件是_____________。 三.电路分析题(36分) 1.图3-1(a)所示电路, 移位寄存器原来的数据是,数据从Di顺序输入到移位寄存器,试问: (1) 在图3-1(b)所示输入波形作用下,在T1到T2期间,输出端X、Y的波形? (2) 该电路的逻辑功能?(12分)

数字电子技术试卷和答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 二.判断题(10) 1.BCD 码即8421码 ( 错 ) 2.八位二进制数可以表示256种不同状态。 ( 对 ) 3.TTL 与非门与CMOS 与非门的逻辑功能不一样。 ( ) 4.多个三态门的输出端相连于一总线上,使用时须只让一个三态门传送信号,其他门处于高阻状态。 (对 ) 5.计数器可作分频器。 ( 对 ) 三.化简逻辑函数(14) 1.用公式法化简- - +++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑= m d D C B A Y ),,,,()+,,,, (84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画出Q 端波形(设初态Q =0)(15)

数电复习题(含答案)分解

数 电 复 习 题 选择题: 1.下列四个数中,与十进制数(163)10不相等的是( D ) A 、(A3)16 B 、(10100011)2 C 、(000101100011)8421BCD D 、(203)8 2.N 个变量可以构成多少个最小项( C ) A 、N B 、2N C 、2N D 、2N -1 3.下列功能不是二极管的常用功能的是( C ) A 、检波 B 、开关 C 、放大 D 、整流 4..将十进制数10)18(转换成八进制数是 ( B ) A 、20 B 、22 C 、21 D 、23 5.译码器的输入地址线为4根,那么输出线为多少根( C ) A 、8 B 、12 C 、16 D 、20 6.能把正弦信号转换成矩形脉冲信号的电路是(D ) A 、多谐振荡器 B 、D/A 转换器 C 、JK 触发器 D 、施密特触发器 7.三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 ( A ) A 、m2 B 、 m5 C 、m3 D 、 m7 8.用PROM 来实现组合逻辑电路,他的可编程阵列是( B ) A 、与阵列 B 、或阵列 C 、与阵列和或阵列都可以 D 、以上说法都不对 9.A/D 转换器中,转换速度最高的为( A )转换 A 、并联比较型 B 、逐次逼近型 C 、双积分型 D 、计数型 10.关于PAL 器件与或阵列说法正确的是 ( A ) A 、 只有与阵列可编程 B 、 都是可编程的 C 、 只有或阵列可编程 D 、 都是不可编程的 11. 当三态门输出高阻状态时,输出电阻为 ( A ) A 、无穷大 B 、约100欧姆 C 、无穷小 D 、约10欧姆 12为使采样输出信号不失真地代表输入模拟信号,采样频率 f s 和 输入模

数电习题及答案

一、 时序逻辑电路与组合逻辑电路不同, 其电路由 组合逻辑电路 和 存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输 出方程 。 三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电路 两大类。 四、试分析图 T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程 和输出方程,画出电路的状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 1001 1 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程: 10Y Q Q = 状态图:功能:同步三进制计数器

五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D触发器,用状态000到100构成五进制计数器。 (1)状态转换图 (2)状态真值表 (3)求状态方程

(4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。 解:触发器的驱动方程 2 0010210 10 21 1 J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程

1 20 0 1 10 101 1 2 210 n n n Q Q Q Q Q Q Q Q Q Q Q Q + + + = =+ = ? ?? ? ? ?? 输出方程 2 Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。 解:驱动方程 输出方程 状态方程 状态转换图如图 A7.3所示 01 J X Q =⊕01 K= 10 J X Q =⊕ 1 1 K= 10 () Z X Q Q =⊕? 1 0000010 () n Q J Q K Q X Q Q +=+=⊕ 1 1111101 () n Q J Q K Q X Q Q +=+=⊕?

(完整版)数电试题及答案

通信 071~5 班 20 08 ~20 09 学年 第 二 学期 《数字电子技术基 础》 课试卷 试卷类型: A 卷 一、 单项选择题(每小题2分,共24分) 1、8421BCD 码01101001.01110001转换为十进制数是:( ) A :78.16 B :24.25 C :69.71 D :54.56 2、最简与或式的标准是:( ) A :表达式中乘积项最多,且每个乘积项的变量个数最多 B :表达式中乘积项最少,且每个乘积项的变量个数最多 C :表达式中乘积项最少,且每个乘积项的变量个数最少 D :表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:( ) A :消去1个表现形式不同的变量,保留相同变量 B :消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同变量 表1 D :消去4个表现形式不同的变量,保留相同变量 4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕B ⊕C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011,则输出Y 7 ~Y 0是:( ) A :11111101 B :10111111 C :11110111 D :11111111 8、要实现n 1n Q Q =+,JK 触发器的J 、K 取值应是:( ) A :J=0,K=0 B :J=0,K=1 C :J=1,K=0 D :J=1,K=1

数电试题及答案

通信071?5 班 20 08?20 09 学年第二学期 《数字电子技术基础》 课试卷试卷 类型:A ■卷 单项选择题(每小题2分,共24 分) 1、 8421BCD 码01101001.01110001转换为十进制数是: A : 78.16 B : 24.25 C : 2、 最简与或式的标准是: (c ) A:表达式中乘积项最多,且每个乘积项的变量个数最多 变量个数最多 C:表达式中乘积项最少,且每个乘积项的变量个数最少 变量个数最多 3、 用逻辑函数卡诺图化简中,四个相邻项可合并为一项 A :消去1个表现形式不同的变量,保留相同变量 B:消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同 D:消去4个表现形式不同的变量,保留相同变量 4、 已知真值表如表 1所示,则其逻辑表达式为: A: A ? B ? C B: AB + BC C: AB + BC D: ABC (A+B+C ) 5、 函数 F(A , A: F(A,B,C)= B: F(A,B,C)= C: F(A,B,C)= D: F(A,B,C)= B ,C)=AB+BC+AC 的最小项表达式为: E m E m E m E m (0, (3, (0, (2, 2, 5, 2, 4, 4) 6, 3, 6, 7) 4) 7) 6、 欲将一个移位寄存器中的二进制数乘以( A: 32 B : 10 7、 已知74LS138译码器的输入三个使能端( E 1=1, 是:(C ) A :::: (c 69.71 ,它能: 变量 32) 10需要 n 1 n = Q ,JK 触发器的J 、K 取值应是: B: J=0, K=1 (B ) B :集电极开路门 D : 54.56 B :表达式中乘积项最少,且每个乘积项的 D:表达式中乘积项最多,且每个乘积项的 (B ) (B ) ( C : _ E 2A =E 2B = 0 ) )个移位脉冲。 D : 6 _ _ A 2A 1A O =011,则输岀 丫厂?丫0 时,地址码 8、 要实现Q =Q A: J=0, K=0 9、 能够实现线与功能的是: A: TTL 与非门 10、 个四位串行数据,输入四位移位寄存器,时钟脉冲频率为 输岀。 A : 8ms B : 4ms 11、 表2所列真值表的逻辑功能所表示的逻辑器件是: A B C D (D ) C: J=1, K=0 D : J=1, K=1 C :三态逻辑门 1kHz ,经过 D : CMOS 逻辑门 B )可转换为4位并行数据 译码器 选择器 优先 编码器 比 较器 输入 I 7 I 6 I 5 I 4 I 3 I 2 I 1 12、 A: B: C: D: 图1所示为2个4位二进制数相加的串 11000 11001 10111 10101 接全力X 器逻辑电路图X 运算后 的 0 0 0 0 0 0 0 1 0 0 0 0 0 X 1 0 0 图 31 0 0 (A )

数电期末试卷及答案(共4套)

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、 ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM 中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1:

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数电试题及答案

数电试题及答案

通信071~5 班20 08 ~20 09 学年第二学期《数字电子技术基础》课试卷试卷类型: A 卷 题号一二三四五六七八九 总 成 绩 得 分 一、单项选择题(每小题2分,共24分) 1、8421BCD码01101001.01110001转换为十进制数是:() A:78.16 B:24.25 C:69.71 D:54.56 2、最简与或式的标准是:() A:表达式中乘积项最多,且每个乘积项的变量个数最多B:表达式中乘积项最少,且每个乘积项的变量个数最多 C:表达式中乘积项最少,且每个乘积项的变量个数最少D:表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:()

A:消去1个表现形式不同的变量,保留相同变量 B:消去2个表现形式不同的变量,保留相同变量 C:消去3个表现形式不同的变量,保留相同变量表1 D:消去4个表现形式不同的变量,保留相同变量

4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕ B ⊕ C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要 ( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011, A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1

数字电路试卷-答案

C. 000 D. 1 0 1 A. A+B B. A+C C. (A+B ) (A+C ) D. B+C 标准答案及评分标准 适用专业(班级): 是否可携带(填写计算器、词典等):计算器 学科部主任: 一 ?选择题(每小题2分,共20分) 1?一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【C 】 A. 4:6 B.1:10 C.4:10 D.2:4 2 ?若输入变量 A 、B 全为1时,输出F=1,则其输入与输出的关系是 【B 】 A.异或 B. 同或 C. 或非 D. 与或 3?在下列逻辑电路中,不是组合逻辑电路的是 【D 】 A.译码器 B. 加法器 C. 编码器 D. 寄存器 6 ?同步计数器和异步计数器比较,同步计数器的最显著优点是 A 工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7?—位8421BCD 码译码器的数据输入线与译码输出线的组合是 A. 4:6 B.1:10 C.4:10 D.2:4 8 ?组合逻辑电路通常由【 】组合而成。 A.触发器 B.门电路 C.计数器 D.锁存器 A. 1 11 B. 010 10.逻辑表达式 A+BC= 课程名称:数字电路 课程归属:理工学科部 开卷、闭卷:闭卷 出卷人: 4 . 一个8选一的数据选择器,其地址输入 (选择控制输入)端的个数是 A. 4 B. 2 C. 3 5?最小项ABCD 的逻辑相邻最小项是 D. 1 6 【A 】 A. ABCD B . ABCD C. ABCD D. ABCD 9.8线一3线优先编码器的输入为 I0 —17,当优先级别最高的 I7有效时,其输出 的值是 【C 】

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数电试题及答案

通信 071~5 班 20 08 ~20 09 学年第二学 期《数字电子技术基础》课试卷试卷类型: A 卷 一、单项选择题(每小题2分,共24分) 1、8421码01101001.01110001转换为十进制数是:( c ) A:78.16 B:24.25 C:69.71 D:54.56 2、最简与或式的标准是:( c ) A:表达式中乘积项最多,且每个乘积项的变量个数最多 B:表达式中乘积项最少,且每个乘积项的变量个数最多 C:表达式中乘积项最少,且每个乘积项的变量个数最少 D:表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能: (B ) A:消去1个表现形式不同的变量,保留相同变量 B:消去2个表现形式不同的变量,保留相同变量 C:消去3个表现形式不同的变量,保留相同变量 表1 D:消去4个表现形式不同的变量,保留相同变量

4、已知真值表如表1所示,则其逻辑表达式为:( A ) A B : + C : + D :() 5、函数F(A ,B ,C)的最小项表达式为: ( B ) A :F()=∑m (0,2,4)B :F()=∑m (3,5,6,7) C :F()=∑m (0,2,3,4) D :F()=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( C )个移位脉冲。 A :32 B :C : 5 D : 6 7、已知74138译码器的输入三个使能端 (E 1=1,E 220)时,地址码A 2A 1A 0=011则输出Y 7 ~Y 0是:( C ) A :11111101 B : 10111111 C :11110111 D : 8、要实现n 1n Q Q =+,触发器的J 、K 取值应是:(D ) A :0,0 B :0,1 C :1,0 D :1,1 9、能够实现线与功能的是:( B ) A : 与非门 B :集电极开路门 C :三态逻辑门 D : 逻辑门

数电试卷及答案

数字电子技术试卷A 卷答案 试卷号:45 学校:哈尔滨理工大学 院系: 自动化 专业:自动化 年级:03 班级: 1~11 一. 单项选择题(本大题共 20 小题,总计 40 分 ) 1、本小题2分 (b) 2、本小题2分 (a) 3、本小题2分 (b) 4、本小题2分 (c) 5、本小题2分 (a) 6、本小题2分 (a) 7、本小题2分 (d) 8、本小题2分 (c) 9、本小题2分 (b) 10、本小题2分 (c) 11、本小题2分 (c) 12、本小题2分 (c) 13、本小题2分 (a) 14、本小题2分 ( b ) 15、本小题2分 ( a ) 16、本小题2分 ( b ) 17、本小题2分 ( b ) 18、本小题2分 ( c ) 19、本小题2分 ( a ) 20、本小题2分 ( b ) 二、非客观题(6分) Ωk mI nI V V R IH OH OH CC L 502.031.032 .35(max) (max)(min) (max)=?+?-=+-= (3分) Ωk mI I V V R IL OL OL CC L 676.04.0384 .05 (max)(max)(max)(min)=?--= --= (3分) 上拉电阻的取值范围是ΩΩk R k L 676.05≥≥ 三、非客观题(8分) A B C A CB A B C A B C A B C A B C m m m m m Y +=++++=++++=6 42101 (4分) CA BA A B C CBA A B C BA C A B C m m m m Y ++=+++=+++=7 5302 ( 4分)

数电试题及答案

通信071~5 班 20 08 ~20 09 学年 第 学期 《数字电子技术基础》 课试卷 题号 -一- -二二 三 四 五 六 七 八 九 总成 绩 得分 、 单项选择题(每小题2分,共24 分) 1、 8421BCD 码 01101001.01110001转换为十进制数是: (c A : 78.16 B : 24.25 C : 69.71 2、 最简与或式的标准是:(c ) A :表达式中乘积项最多,且每个乘积项的变量个数最多 项的变量个数最多 C :表达式中乘积项最少,且每个乘积项的变量个数最少 项的变量个数最多 3、 用逻辑函数卡诺图化简中,四个相邻项可合并为一项 A :消去 B :消去 C :消去 ,它 能: D : 54.56 B :表达式中乘积项最少,且每个乘积 D :表达式中乘积项最多,且每个乘积 (B ) D : 消去4个表现形式不同的变量,保留相同变量 A B C F 4、已知真值表如表1所示,则其逻辑表达式为:(A ) 0 0 0 0 A : A ? B ? C 0 0 1 1 B : AB + BC 0 匕 0 1 C : AB + BC 0 1 1 0 D ABC (A+B+C ) 1 0 0 1 5、函数 F(A , B , C)=AB+BC+AC 的最小项表达式为: 1 1 A : F(A,B,C)=刀m (0, 2, 4) 1 1 0 0 B : F(A,B,C)=刀m (3, 5, 6, 7) 1 1 1 1 1个表现形式不同的变量,保留相同变量 2个表现形式不同的变量,保留相同变量 3个表现形式不同的变量,保留相同变量 (0, 2, (2, 4, 3, 6, 4) 7) (B ) C : F(A,B,C)=刀m D : F(A,B,C)=刀 m 6、 欲将一个移位寄存器中的二进制数乘以( A : 32 B : 10 32) 10需要 7、 已知74LS138译码器的输入三个使能端( E 1=1 , E 2A =E 2B =0 )时,地址码 丫 0 是:(C ) A : 11111101 n 1 n B : 10111111 C )个移位脉冲。 D : A 2A 1A °=011,则输出 丫7 11110111 D : 11111111 8、 要实现Q A : J=0, K=0 9、 能够实现线与功能的是: A : TTL 与非门 Q , JK 触发器的J K 取值应是: B : J=0, K=1 (B ) B :集电极开路门 (D C : ) J=1 , K=0 J=1,K=1 10、 个四位串行数据,输入四位移位寄存器,时钟脉冲频率为 行数据输出。 A : 8ms B : 4ms 11、 表2 ( A :译码器 C :三态逻辑门 1kHz ,经过 D: CMOS 逻辑门 可转换为4位并

数电试题及答案(共11套)

《数字电子技术基础》试题一 一、 填空题(22分 每空2分) 1、=⊕0A A , =⊕1A 。 2、JK 触发器的特性方程为: n n n Q K Q J Q +=+1 。 3、单稳态触发器中,两个状态一个为 稳态 态,另一个为 暂稳态 态.多谐振荡器两个状态都为 暂稳态 态, 施密特触发器两个状态都为 稳态 态. 4、组合逻辑电路的输出仅仅只与该时刻的 输入 有关,而与 电路的原先状态 无关。 5、某数/模转换器的输入为8位二进制数字信号(D 7~D 0),输出为0~25.5V 的模拟电压。若数字信号的最低位是“1”其余各位是“0”,则输出的模拟电压为 0.1V 。 6、一个四选一数据选择器,其地址输入端有 2 个。 二、 化简题(15分 每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈 1)Y (A,B,C,D )=∑m (0,1,2,3,4,5,6,7,13,15) 2)∑∑+=)11,10,9,3,2,1()15,14,13,0(),,,(d m D C B A L 利用代数法化简逻辑函数,必须写出化简过程 3)________________________________________ __________)(),,(B A B A ABC B A C B A F +++= 三、 画图题(10分 每题5分) 据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、 2、 四、 分析题(17分) 1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分)

2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分) 五、设计题(28分) 1、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一 台不正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,要求用74LS138和适当的与非门实现此电路(20分) 2、中规模同步四位二进制计数器74LS161的功能表见附表所示;请用反馈预置回零法设计一 个六进制加法计数器。(8分) 六、分析画图题(8分) V作用下,输出电压的波形和电压传输特性 画出下图所示电路在 i

相关文档
相关文档 最新文档