文档库 最新最全的文档下载
当前位置:文档库 › 信号发生器实验报告

信号发生器实验报告

信号发生器实验报告
信号发生器实验报告

信号发生器

摘要

函数发生器是一种在科研和生产中经常用到的基本波形产生器,集成函数波形发生器一般都采用ICL8038或5G8038。本文介绍由单片机AT89S52和D/A转换器DAC0832及LM35组成的函数波形发生器,该电路能够产生正弦波、方波和三角波信号,频率能在100Hz~100kHz范围内可调。

关键词:函数波形发生器;单片机AT89S52; D/A转换器DAC0832;LM358;电位器;稳压管;二极管;

第一部分:系统需求分析

一、概论

信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。

本设计要求实现一个信号发生器,能够产生正弦波,三角波和方波信号。

二、技术指标

(1)输出信号频率在100Hz~100kHz范围内可调;

(2)输出信号频率稳定度优于10-3;

(3)在1k 负载条件下,输出正弦波信号的电压峰-峰值Vopp在0~5V范围内可调;

三、要求

(1)信号发生器能产生正弦波、方波和三角波三种周期性波形

(2)输出信号波形无明显失真;

(3)自制稳压电源。

第二部分:方案设计与论证

一、方案论证与比较

函数信号产生方案

对于函数信号产生电路,一般有多种实现方案,如模拟电路实现方案、数

字电路实现方案(如DDS 方式)、模数结合的实现方案等。

数字电路的实现方案:一般可事先在存储器里存储好函数信号波形,再用D/A

转换器进行逐点恢复。这种方案的波形精度主要取决于函数信号波形的存储点数、D/A 转换器的转换速度、以及整个电路的时序处理等。其信号频率的高低,是通过改变D/A 转换器输入数字量的速率来实现的。

模拟电路的实现方案:是指全部采用模拟电路的方式,以实现信号产生电路

的所有功能。

方案一:

如用正弦波发生器产生正弦波信号,然后用过零比较器产生方波,再经过积

分电路产生三角波,其电路框图如图2-1所示。

方案二:

由单片机构成的信号产生电路:

利用单片机AT89S52和D/A 转换器DAC0832可很方便地产生各种的波形信号,原理图如图3所示。

D/A 转换芯片DAC0832输出为电流形式,为了得到电压输出,需要在输出端接上运算放大器,f b R 为运算放大器的负反馈电阻端。编程时,只需把要产生的信号

波形各点的幅值转换为二进制数,把整个周期的数据制成一张表,单片机依次输出到DAC0832进行D/A 转换,经运放后可得相应的电压信号,如此周而复始,可

获得相应的周期信号波形。

系统框图下图1所示:

图1 信号发生器系统框图

对于波形产生电路的模拟数字结合电路的实现方案,也有几种电路方式可供选择。单片机控制品质卓越,基于单片机的函数发生器运行可靠,操作方便。本实验选用由单片机构成的信号产生电路。

第三部分方案实施

1电路原理图:

单片机构成的信号产生电路图2自制稳压源

+5V自制稳压源

±12V自制稳压源

元件清单

Part Type Designator Footprint 0.1u C8 XTAL1

0.1u C12 XTAL1

0.1u C2 XTAL1

0.1u C4 XTAL1

0.1u C6 XTAL1

0.1u C10 XTAL1

1K R0 AXIAL0.6

1M R10 DWQ

10K R9 AXIAL0.6

12M UJ RB.2/.4

16V/1000u C7 DY470

16V/1000u C11 DY470

25V/470u C3 DY470

25V/470u C1 DY470

25V/1000u C5 DY470

25V/1000u C9 DY470

30p CJ2 XTAL1

30p CJ1 XTAL1

80C52 U4 DIP40

100u C? DY470 CON2 J2 DZ

CON2 J? DZ

CON2 J1 DZ DIODE D3 AXIAL0.6 DIODE D4 AXIAL0.6 DIODE D1 AXIAL0.6 DIODE D8 AXIAL0.6 DIODE D7 AXIAL0.6 DIODE D5 AXIAL0.6 DIODE D6 AXIAL0.6 DIODE D2 AXIAL0.6 J-ISP U8 JIEKOU LM358 U6 DIP8

LM7805 U1 TO-220 LM7812 U2 TO-220 LM7912 U3 TO-220 PCM1718E U5 DIP20 SW-PB S7 4JKEY

SW-PB S6 4JKEY

SW-PB S8 4JKEY

SW SPST S1 VR5

SW SPST S3 VR5

SW SPST S2 VR5

SW SPST S5 VR5

SW SPST S4 VR5

程序

第四部分:检测及测试方法与数据记录

1.全桥组件的检测

全桥组件的内部结构如图所示。首先将万用表置于R×10K档,测量一下全桥组件交流电源输入端3,4脚的正,反向电阻值。从图可见,无论红,黑表笔怎样交换测量,左右两边的两个二极管都有一个处于反向接法,所以良好的全桥组件3,4脚之间的电阻值应无穷大。当4个二极管之中有一个击穿或漏电时,都会导致3,4脚之间的电阻值变小。因此,当测得3,4引脚之间的电阻值不是无穷大时,说明全桥组件中的4个中必定有一个或多个漏电;当测得阻值只有千欧时,说明全桥组件中有个别二极管已经击穿。

全桥组件的内部结构

第五部分:测试结果分析与总结

通过仿真我们发现,原理图方案是可行,可以达到设计的效果。

第二部分是根据仿真的数据搭建正弦波、方波、三角波发生电路的实物电路板(如图5-3所示),并在示波器上观察各部分输出的波形。通过对实物电路的调试,通过调节位器RW1、RW2的值可以从示波器上观察到预计的波形(如图5-4所示)。同时,通过改变实物电路的参数可以对输出波形的幅值和频率进行调节,得到不同幅值和频率的波形。

实验8-序列信号发生器

实验8-序列信号发生器

实验8 序列信号发生器 实验目的: 1.熟悉掌握EDA软件工具Multisim 的仿真测试应用。 2.熟悉序列信号发生器的工作原理。 3.学习序列信号发生器的设计方法。 实验仪器设备与主要器件: 实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 4位十进制加法计数器74LS160;4位二进制加法计数器74LS161。 8选1数据选择器74LS251、74LS152、74LS151。 实验内容: 1.用计数器74LS160设计一个7位巴克码(0100111)的产生电路,画出电路时序图。用示波器观察电路输出的波形。 实验原理: ①先设计计数器。由于序列长度为7,所以选用74LS160设计一个八进制计数器。 QB?。 现采用置零法,有效状态为0000~0110,所以LOAD=QC ②然后设计组合输出电路。令计数器计数过程中每一状态的输出符合给定序列要求,用8选一数据选择器74LS251.实现逻辑函数,且数据选择器的数据输入端D0 D1 D2 D3 D4 D5 D6 D7 0 1 0 0 1 1 1 * 实验分析:如电路图所示,将计数器的输出QCQBQA作用于数据选择器的地址输入端,于是,每计一个数,数据选择器就输出一个预先置好的数据。当CP信号持续不断地加到计数器上,QCQBQA的状态(也即74LS251的地址输入代码)按0000~0110的顺序不断循环,对应的输出也不断地循环:0100111 实验结果与现象:

2.设计灯光控制逻辑电路。要求红、绿、黄三种颜色的灯在时钟信号作用下按表2—8—2 CP顺序红绿黄 0 0 0 0 1 1 0 0 2 0 1 0 3 0 0 1 4 1 1 1 5 0 0 1 6 0 1 0 7 1 0 0 8 0 0 0 实验原理: ①先设计计数器。从表2—8—2可以看出三个序列信号的序列长度为8,所以选用74LS160设计一个八进制计数器。现采用置零法,有效状态为0000~0111,所以LOAD=QC ?。 QA? QB ②然后设计组合输出电路。该电路需产生三个序列信号,所以需要三个数据选择器74LS251。令计数器计数过程中每一状态的输出符合给定序列要求,用8选一数据选择器74LS251.实现逻辑函数,且数据选择器的数据输入端所置数为: D0 D1 D2 D3 D4 D5 D6 D7 0 1 0 0 1 0 0 1 D0 D1 D2 D3 D4 D5 D6 D7 0 0 1 0 1 0 1 0

模拟信号源实验报告

实验1 模拟信号源实验 一、实验目的 1.了解本模块中函数信号产生芯片的技术参数; 2.了解本模块在后续实验系统中的作用; 3.熟悉本模块产生的几种模拟信号的波形和参数调节方法。 二、实验仪器 1.时钟与基带数据发生模块,位号:G 2.频率计1 台 3.20M 双踪示波器1 台 4.小电话单机1 部 三、实验原理 本模块主要功能是产生频率、幅度连续可调的正弦波、三角波、方波等函数信号(非同步函数信号),另外还提供与系统主时钟同源的2KHZ 正弦波信号(同步正弦波信号)和模拟电话接口。在实验系统中,可利用它定性地观察通信话路的频率特性,同时用做PAM、PCM、ADPCM、CVSD(Δ M)等实验的音频信号源。本模块位于底板的左边。 1.非同步函数信号 它由集成函数发生器XR2206 和一些外围电路组成,XR2206 芯片的技术资料可到网上搜索得到。函数信号类型由三档开关K01 选择,类型分别为三角波、正弦波、方波等;峰峰值幅度范围0~10V,可由W03调节;频率范围约500HZ~5KHZ,可由W02 调节;直流电平可由W01 调节(一般左旋到底)。非同步函数信号源结构示意图,见图2-1。 2.同步正弦波信号 它由2KHz 方波信号源、低通滤波器和输出放大电路三部分组成。2KHz 方波信号由“时钟与基带数据发生模块”分频产生。U03 及周边的阻容网络组成一个截止频率为2KHZ 的低通滤波器,用以滤除各次谐波,只输出一个2KHz 正弦波,在P04 可测试其波形。用其作为PAM、PCM、ADPCM、CVSD(Δ M)等模块的音频信号源,其编码数据可在普通模拟示波器上形成稳定的波形,便于实验者观测。W04 用来改变输出同步正弦波的幅度。同步信号源结构示意图,见图2-2。

信号发生器实验报告

低频电路课程设计 OCL 功率放大器设计 学院名称: 电气信息工程学院 专 业: 测控技术与仪器 班 级: 08测控1班 姓 名: 朱彬彬 学 号: 08314105 指导老师: 王云松 2010年 6 月20 日 JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 电气信息工程学院

1设计课题:OCL功率放大器 为了保证功率,效率和失真三个指标满足一定的要求,早期的功率放大器多采用变压耦合。这种电路变压器体积大,比较笨重,耗损多,而且高频和低频部分频响特性不好,在引入负反馈时,很容易自激。随着电子技术的发展,后来被无输出变压器的功率放大电路(OTL)代替。在OTL电路中,虽去掉了变压器,但为了能用但电源供电,输出端接了一个大电容,这个大电容影响了电路的低频特性,于是出现了OCL电路。 OCL功放是在OTL功放的基础上发展起来的,它比OTL功放的频带更宽,保真度更高。OCL功放是一种直接耦合的多级放大器,它运用了许多电子器件,包含了多种基本电路形式。 OCL功率放大器采用两组电源供电,使用了正负电源,在电压不太高的情况下,也能获得较大的输出功率,省去了输出端的耦合电容,使放大器低频特性得到扩展,OCL功放电路也是定压式输出电路,其电路由于性能比较好,所以广泛的应用于高保真扩音设备中。 2 主要技术指标 最大不失真输出功率:Pom≥8w 负载阻抗(扬声器):R L=10Ω 频率响应:f=50Hz~20kHz 非线性失真系数:γ≤功率放大器1% 输入灵敏度:Vi≤300mv 稳定性:电源升高和降低20%时,输出零点漂移≤100mv 3实验用仪器: 直流稳压电源一台 低频信号发生器一台 低频毫伏表一台 示波器一台 万用表一台 晶体管图示仪一台 失真度测量仪一台 4电路原理 OC L功率放大器时一种直接耦合的多级放大器,总体可分为三个部分

信号发生器的基本参数和使用方法

信号发生器 本人介绍一下信号发生器的使用和操作步骤. 1、信号发生器参数性能 频率范围:0.2Hz ~2MHz 粗调、微调旋钮 正弦波, 三角波, 方波, TTL 脉波 0.5" 大型 LED 显示器 可调 DC offset 电位 输出过载保护 信号发生器/信号源的技术指标: 波形正弦波, 三角波, 方波, Ramp 与脉波输出 振幅>20Vp-p (open circuit); >10Vp-p (加 50Ω负载) 阻抗50Ω+10% 衰减器-20dB+1.0dB (at 1kHz) DC 飘移<-10V ~ >+10V, (<-5V ~ >+5V 加 50Ω负载) 周期控制 1 : 1 to 10 : 1 continuously rating 显示幕4位LED显示幕 频率范围0.2Hz to2MHz(共 7 档) 频率控制Separate coarse and fine tuning 失真< 1% 0.2Hz ~ 20kHz , < 2% 20kHz ~ 200kHz 频率响应< 0.2dB 0.2Hz ~100kHz; < 1dB100kHz~2MHz 线性98% 0.2Hz ~100kHz; 95%100kHz~2MHz

对称性<2% 0.2Hz ~100kHz 上升/下降时间<120nS 位准4Vp-p±1Vp-p ~ 14.5Vp-p±0.5Vp-p 可调 上升/下降时间<120nS 位准>3Vpp 上升/下降时间<30nS 输入电压约 0V~10V ±1V input for 10 : 1 frequency ratio 输入阻抗10kΩ (±10%) 交流 100V/120V/220V/230V ±10%, 50/60Hz 电源线× 1, 操作手册× 1, 测试线 GTL-101 × 1 230(宽) × 95(高) × 280(长) mm,约 2.1 公斤 信号发生器是为进行电子测量提供满足一定技术要求电信号的仪器设备。这种仪器是多用途测量仪器,它除了能够输出正弦波、矩形波尖脉冲、TTL电平、单次脉冲等五种波形,还可以作频率计使用,测量外输入信号的频率 1.信号发生器面板: (1)电源开关; (2)信号输出端子; (3)输出信号波形选择;

序列信号发生器分析

华南师范大学实验报告 学生姓名林竞浩李瑜贤学号20102804016 专业多媒体与网络技术年级、班级2010级4班 课程名称模拟电路与数字电路实验项目555定时器的应用 实验类型□验证□设计□综合实验时间2011年月日 实验指导老师实验评分 一、实验目的 1 学会构建序列发生器的基本方法 2掌握对序列信号发生器序列信号的测试分析方法。 二、实验仪器 安装有Multisim10软件的个人电脑 三、实验原理 序列信号器产生序列信号,有多种方法。本实验采用计数器和数据选择器构成发生。图一中四位二进制同步计数器74S163状态输出端QC,QB,QA输出的数据,送入8选1数据选择器74S151的地址输入端ABC,需要获取产生的序列信号接至数据选择器74S151数据输入端D0-D7,数据从Y或W端输出,实验电路原理图如下

四、实验步骤 1设定产生周期为00010111序列信号。 2打开电脑Multisim10操作平台,从TTL元件库中取出74S163,74S151,显示器件库中取下带译码器的数码管及探针等器件,以及逻辑分析仪,按实验电路图连接好。 3设定时钟信号发生器V1的频率为100HZ .调整好实验电路后,数码管有0-7计数显示,探针有闪动。 4双击打开逻辑分析仪工作界面,以备测试波形。调整逻辑分析仪时钟源为外同步。正常后,观察数码管,探针,逻辑分析仪波形的变化,把相关数据填入表1中 输入时钟脉冲计数器输出逻辑指示灯数码管显示 QC QB QA Y 0 0 0 0 N 0 1 0 0 1 N 1 2 0 1 0 N 2 3 0 1 1 Y 3 4 1 0 0 N 4 5 1 0 1 Y 5

数字信号源实验报告

实验一数字信号源实验 一、实验目的 1、了解单极性码、双极性码、归零码、不归零码等基带信号波形特点。 2、掌握集中插入帧同步码时分复用信号的帧结构特点。 3、掌握数字信号源电路组成原理。 二、实验内容 1、用示波器观察单极性非归零码(NRZ)、帧同步信号(FS)、位同步时钟(BS)。 2、用示波器观察NRZ、FS、BS三信号的对应关系。 3、学习电路原理图。 三、基本原理 本模块是实验系统中数字信号源,即发送端,其原理方框图如图1-1所示。本单元产生NRZ信号,信号码速率约为170.5KB,帧结构如图1-2所示。帧长为24位,其中首位无定义,第2位到第8位是帧同步码(7位巴克码1110010),另外16位为2路数据信号,每路8位。此NRZ信号为集中插入帧同步码时分复用信号。发光二极管亮状态表示‘1’码,熄状态表示‘0’码。 本模块有以下测试点及输入输出点: ? CLK-OUT 时钟信号测试点,输出信号频率为4.433619MHz ? BS-OUT 信源位同步信号输出点/测试点,频率为170.5KHz ? FS 信源帧同步信号输出点/测试点,频率为7.1KHz ? NRZ-OUT NRZ信号输出点/测试点 图1-3为数字信源模块的电原理图。图1-1中各单元与图1-3中的元器件对应关系如下: ?晶振CRY:晶体;U1:反相器7404 ?分频器US2:计数器74161;US3:计数器74193; US4:计数器40160 ?并行码产生器KS1、KS2、KS3:8位手动开关,从左到右依次与帧同步码、数据1、数据2相对应;发光二极管左起分别与一帧中的24位代码相对应 ?八选一US5、US6、US7:8位数据选择器4512 ?三选一US8:8位数据选择器4512 ?倒相器US10:非门74HC04 ?抽样US9:D触发器74HC74

函数信号发生器实训报告

电子与信息工程 综合实验课程报告 实验名称:基于单片机的信号发生器的设计与实现班级:电子1班 组员:徐丹许艳徐梅 指导教师:张辉 时间:2013-6-8至2011-6-16

目录 前言......................................................................... 错误!未定义书签。 1 波形发生器概述 (2) 1.1波形发生器的发展状况 (2) 1.2国内外波形发生器产品比较 (3) 2 方案论证与比较 (4) 2.1 方案一 (4) 2.2 方案二 (5) 2.3 方案三 (5) 3 硬件原理 (5) 3.1 MCS-51单片机的内部结构 (6) 3.1.1 内部结构概述 (6) 3.1.2 CPU结构 (6) 3.1.3 存储器和特殊功能寄存器 (7) 3.2 P0-P3口结构 (7) 3.3 时钟电路和复位电路 (8) 3.3.1时钟电路 (8) 3.3.2单片机的复位状态 (9) 3.4 DAC0832的引脚及功能 (10) 4 软件原理 (11) 4.1 主流程图 (12) 4.1.1 方波仿真图 (13) 4.1.2 三角波仿真图 (14) 4.1.3 锯齿波仿真图 (15) 4.1.4 梯形波仿真图 (16) 4.1.5 正弦波仿真图 (17) 4.2附录:实物图 (17) 总结 (18) 致谢 (19) 参考文献 (19)

1 波形发生器概述 在电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域,经常需要用到各种各样的信号波形发生器。随着集成电路的迅速发展,用集成电路可很方便地构成各种信号波形发生器。用集成电路实现的信号波形发生器与其它信号波形发生器相比,其波形质量、幅度和频率稳定性等性能指标,都有了很大的提高。 1.1波形发生器的发展状况 波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。 在70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。 在70 年代后,微处理器的出现,可以利用处理器、A/D/和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。 90 年代末,出现几种真正高性能、高价格的函数发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。HP8770A实际上也只能产生8 中波形,而且价格昂贵。不久以后,Analogic公司推出了型号为Data-2020的多波形合成器,Lecr oy 公司生产的型号为9100 的任意波形发生器等。 到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz 的DDS 芯片,同时也推动了函数波形发生器的发展,2003 年,Agilent 的产品33220A能够产生17 种波形,最高频率可达到20M,2005 年的产品N6030A 能够产生高达500MHz 的频率,采样的频率可达1.25GHz。由上面的产品可以看出,函数波形发生器发展很快近几年来,国际上波形发生器技术发展主要体现在以下几个方面:

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

模电函数信号发生器实验报告

电子电路模拟综合实验 2009211120 班 09210580(07)号 桂柯易

实验1 函数信号发生器的设计与调测 摘要 使用运放组成的积分电路产生一定频率和周期的三角波、方波(提高要求中通过改变积分电路两段的积分常数从而产生锯齿波电压,同时改变方波的占空比),将三角波信号接入下级差动放大电路(电流镜提供工作电流),利用三极管线性区及饱和区的放大特性产生正弦波电压并输出。 关键词 运放积分电路差动发达电路镜像电流源 实验内容 1、基本要求: a)设计制作一个可输出正弦波、三角波和方波信号的函数信号发生器。 1)输出频率能在1-10KHz范围内连续可调,无明显失真; 2)方波输出电压Uopp=12V,上升、下降沿小于10us,占空比可调范围30%-70%; 3)三角波Uopp=8V; 4)正弦波Uopp>1V。 b)设计该电路的电源电路(不要求实际搭建),用PROTEL软件绘制完整的 电路原理图(SCH) 2、提高要求: a)三种输出波形的峰峰值Uopp均可在1V-10V范围内连续可调。 b)三种输出波形的输出阻抗小于100欧。 c)用PROTEL软件绘制完整的印制电路板图(PCB)。 设计思路、总体结构框图 分段设计,首先产生方波-三角波,再与差动放大电路相连。 分块电路和总体电路的设计(1)方波-三角波产生电路: 正弦波产生电路三角波产生电路 方波产生电路

首先,稳压管采用既定原件2DW232,保证了输出方波电压Uo1的峰峰值为12V,基本要求三角波输出电压峰峰值为8V,考虑到平衡电阻R3的取值问题,且要保证R1/Rf=2/3,计算决定令Rf=12K,R1=8K,R3=5K。又由方波的上升、下降沿要求,第一级运放采用转换速度很快的LM318,Ro为输出限流电阻,不宜太大,最后采用1K欧电阻。二级运放对转换速度要求不是很高,故采用UA741。考虑到电容C1不宜过小,不然误差可能较大,故C1=0.1uF,最后根据公式,Rw抽头位于中点时R2的值约为300欧,进而确定平衡电阻R4的阻值。考虑到电路的安全问题,在滑阻的接地端串接了一个1K的电阻。(注:实际调测时因为滑阻转动不太方便,所以通过不断换滑阻的方式确定适当频率要求下Rw的阻值,我的电路最后使用的是1K欧的滑阻) (2)正弦波产生电路:

实验四序列发生器

南昌大学实验报告学生姓名:学号:专业班级:中兴101班 实验类型:□验证□综合■设计□创新实验日期:2012、11、16成绩: 实验四序列信号发生器与检测器设计 一、实验目的 1、学习VHDL文本输入法 2、学习有限状态机的设计 3、利用状态机实现串行序列的输出与序列的检测 4、继续学习优化设计 二.实验内容与要求 1. 设计序列发生器,完成序列为0111010011011010的序列生成器 2.用有限状态机设计序列检测器,实现串行序列11010的检测器 3. 若检测到符合要求的序列,则输出显示位为“1”,否则为“0” 4. 对检测到的次数计数 5.整个工程采用顶层文件+底层模块的原理图或文本的设计思路 三、实验仪器 PC机、Quartus II软件、EDA实验箱 四、实验思路 1.设计序列发生器 基本思想为一个信号CQ1计数,给另一个信号CO(代表序列的每一位)赋值的方法: 先设定端口CQ1用于产生序列时计数,因为序列共16位,因此端口CQ1为标准逻辑矢量,位宽为4,设另一个端口M代表序列的每一位,CQ1每计一个数,就给M赋一个值,这样产生一个16位的序列。由于端口不能参与相关运算,因此在结构体中我分别定义了信号CQ1(标准逻辑矢量,位宽4),信号Q与相应的端口CQ1 CO对应,在进程中参与相应的运算,在程序的最后再用端口接收信号: CO<=Q; 在进程中我采用case –when 语句,如当CQ1为“0000”的时候,给另一信号Q赋‘0’,当CQ1为“0001” 2.序列检测器 序列检测器设计的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及序列,直到在连续的检测中收到的每一位码都与实验要求相同。在此,必须利用状态转移图。 电路需要分别不间断记忆:初始状态、1、11、110、1101、11010共六种状态,状态转移如图:

函数信号发生器的使用方法规定

函数信号发生器的使用方法规定 1、目的:为操作人员作操作指导。 2、范围:适用于函数信号发生器操作人员。 3、操作步骤: 3.1注意事项 仪器在只使用“电压输出端”时应将“输出衰减”开关置于“0dB”~“80dB”内的位置,以免功率指示电压表指示过大而损坏。 3.2使用方法 3.2.1开机:在未开机前应首先检查仪器外接电源是否为交流220V±10%,50Hz±5%, 并检查电源插头上的地线脚应与在地接触良好,以防机壳带电。面板上的电源开关 应放在“关”位置,“电平调节”旋钮置中间,输出衰减旋钮置“0dB”,频段开关设 置在你所需要的频段。 3.2.2频率选择:首先将频段开关设置在你所期望的频率范围内,然后调节频率调谐旋钮 和频率微调旋钮,至数码管上指示你所需要的频率为止。 3.2.3波形选择:波形开关在“~”位置,可在电压输出端获得全频段的电压正弦信号,在 功率输出端可获得20Hz~100kHz的功率输出;波形开关在“”位置,在电压输 出端可获得全频段的电压方波信号。输出衰减在功率输出端8Ω档同样可以获得 20Hz~100kHz的方波功率输出。 3.2.4输出电压调整:电压输出端的输出电压可通过“电平调节”旋钮连续可调。 3.2.5功率输出调整:功率输出端的输出同由“电平调节”旋钮控制调节,并可通过“输 出衰减”进行80 dB的衰减。“输出衰减”控制开关上有8Ω和600Ω二档匹配档, 用以匹配低阻和较高负载以获取最大输出功率。 3.2.6功率的平衡输出:本仪器600Ω功率输出档可进行平衡输出,方法是可将面板上中间 红色接线柱和黑色接线柱之间的接地片取下,接在两个红色接线柱上即可,但本仪器连接的其它仪器也应不接在“地”电位。

EDA实验报告--序列信号发生器

南昌大学实验报告 学生姓名:林聪学号:5801209051 专业班级:中兴091班 实验类型:□验证□综合□设计□创新实验日期:2011/10/19实验成绩: 实验三序列信号发生和检测器 一、实验目的 1、进一步熟悉EDA实验装置和QuartusⅡ软件的使用方法; 2、学习有限状态机法进行数字系统设计; 二、设计要求 完成设计、仿真、调试、下载、硬件测试等环节,在EDA实验装置上实现一个串行序列信号发生器和一个序列信号检测器的功能,具体要求如下: 1、先设计0111 0100 1101 1010序列信号发生器,其最后8BIT数据用LED显示出来; 2、再设计一个序列信号检测器,检测上述序列信号,若检测到串行序列“11010”则输出为“1”, 否则输出为“0”; 三、主要仪器设备 1、微机1台 2、QuartusII集成开发软件1套 3、EDA实验装置1套 四、实验步骤 1、分析实验,由于实验需要产生具备序列发生器和序列检测器的功能,根据分模块处理的 思想,可以把实验分为两个模块,通过顶层元件建立输入输出的连接。 2、建立模块使用VHDL编程,首先,建立序列发生器的模块,名为xlfsq,VHDL代码如下: libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; entityxlfsq is port(clk,rst:instd_logic; cout,e1,e2,e3,e4,e5,e6,e7,e8:out std_logic); endxlfsq; architecture one of xlfsq is signalcq:std_logic; signal f1,f2,f3,f4,f5,f6,f7:std_logic; begin P1:process(clk,rst) variablecount:std_logic_vector(3 downto 0); begin if(rst='0')then count:="0000"; elsif(clk'event and clk='1')then count:=count+1; end if;

信号发生器实验报告

电子线路课程设计报告设计题目:简易数字合成信号发生器 专业: 指导教师: 小组成员:

数字合成信号发生器设计、调试报告 一:设计目标陈述 设计一个简易数字信号发生器,使其能够产生正弦信号、方波信号、三角波信号、锯齿波信号,要求有滤波有放大,可以按键选择波形的模式及周期及频率,波形可以在示波器上 显示,此外可以加入数码管显示。 二、完成情况简述 成功完成了电路的基本焊接,程序完整,能够实现要求功能。能够通过程序控制实现正弦波的输出,但是有一定噪声;由于时间问题,我们没有设计数码管,也不能通过按键调节频率。 三、系统总体描述及系统框图 总体描述:以51单片机开发板为基础,将输出的数字信号接入D\A转换器进行D\A转换,然后接入到滤波器进行滤波,最后通过运算放大器得到最后的波形输出。 四:各模块说明 1、单片机电路80C51 程序下载于开发板上的单片机内进行程序的执行,为D\A转换提供了八位数字信号,同时为滤波器提供高频方波。通过开发板上的232串口,可以进行软件控制信号波形及频率切换。通过开发板连接液晶显示屏,显示波形和频率。 2、D/A电路TLC7528 将波形样值的编码转换成模拟值,完成单极性的波形输出。TLC7528是双路8位数字模拟转换器,本设计采用的是电压输出模式,示波器上显示波形。直接将单片机的P0口输出传给TLC7528并用A路直接输出结果,没有寄存。 3、滤波电路MAX7400 通过接收到的单片机发送来的高频方波信号(其频率为所要实现波频率的一百倍)D转换器输出的波形,对转换器输出波形进行滤波并得到平滑的输出信号。 4、放大电路TL072

TL072用以对滤波器输出的波进行十倍放大,采用双电源,并将放大结果送到示波器进行波形显示。 五:调试流程 1、利用proteus做各个模块和程序的单独仿真,修改电路和程序。 2、用完整的程序对完整电路进行仿真,调整程序结构等。 3、焊接电路,利用硬件仿真器进行仿真,并用示波器进行波形显示,调整电路的一些细节错误。 六:遇到的问题及解决方法 遇到的软件方面的问题: 最开始,无法形成波形,然后用示波器查看滤波器的滤波,发现频率过低,于是检查程序发现,滤波器的频率设置方面的参数过大,延时程序的参数设置过大,频率输出过低,几次调整好参数后,在进行试验,波形终于产生了。 七:原理图和实物照片 波形照片:

(Proteus数电仿真)序列信号发生器电路设计

实验8 序列信号发生器电路设计 一、实验目的: 1.熟悉序列信号发生器的工作原理。 2.学会序列信号发生器的设计方法。 3.熟悉掌握EDA软件工具Proteus 的设计仿真测试应用。 二、实验仪器设备: 仿真计算机及软件Proteus 。 74LS161、74LS194、74LS151 三、实验原理: 1、反馈移位型序列信号发生器 反馈移位型序列信号发生器的结构框图如右图 所示,它由移位寄存器和组合反馈网络组成, 从寄存器的某一输出端可以得到周期性的序列 码。设计按一下步骤进行: (1)确定位移寄存器位数n ,并确定移位 寄存器的M 个独立状态。 CP 将给定的序列码按照移位规律每 n 位一组,划分为M 个状态。 若M 个状态中出现重复现象,则应增加移位寄存器的位数。用n+1位再重复上述过程,直到划分为M 个独立状态为止。 (2)根据M 各不同状态列出寄存器的态序表和反馈函数表,求出反馈函数F 的表达式。 (3)检查自启动性能。 (4)画逻辑图。 2、计数型序列信号发生器 计数型序列信号发生器和组合的结构框图 如图 所示。它由计数器和组合输出网络两部分 组成,序列码从组合输出网络输出。设计 过程分为以下两步: (1)根据序列码的长度M 设计模M (2)按计数器的状态转移关系和序列码的要求组合输出网络。由于计数器的状态设置和输出序列没有直接关系,因此这种结构对于输出序列的更改比较方便,而且还能产生多组序列码。 四、计算机仿真实验内容及步骤、结果: 1、设计一个产生100111序列的反馈移位型序列信号发生器。 1、根据电路图在protuse 中搭建电路图

实验1 示波器函数信号发生器的原理及使用(实验报告之实验数据表)

实验1 示波器、函数信号发生器的原理及使用 【实验目的】 1. 了解示波器、函数信号发生器的工作原理。 2. 学习调节函数信号发生器产生波形及正确设置参数的方法。 3. 学习用示波器观察测量信号波形的电压参数和时间参数。 4. 通过李萨如图形学习用示波器观察两个信号之间的关系。 【实验仪器】 1. 示波器DS5042型,1台。 2. 函数信号发生器DG1022型,1台。 3. 电缆线(BNC 型插头),2条。 【实验内容与步骤】 1. 利用示波器观测信号的电压和频率 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-1所示的正余弦波形,显示在示波屏上。 图1-1 函数信号发生器生成的正、余弦信号的波形 学生姓名/学号 指导教师 上课时间 第 周 节

(2)用示波器对图1-1中所示的正余弦波形进行测量并填写下表 表1-1 正余弦信号的电压和时间参数的测量 电压参数(V)时间参数 峰峰值最大值最小值频率(Hz)周期(ms)正弦信号 3sin(200πt) 余弦信号 3cos(200πt) 2. 用示波器观测函数信号发生器产生的正余弦信号的李萨如图形 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-2所示的正余弦波形的李萨如图形,调节并正确显示在示波屏上。 图1-2 正弦信号3sin(200πt)和余弦信号3cos(200πt)的李萨如图形 3. 观测相同幅值、相同频率、不同相位差条件下的两正弦信号的李萨如图形 (1)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+45o),观测并记录两正弦信号的李萨如图形于图1-3中。 (2)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+135o),观测并记录两正弦信号的李萨如图形于图1-3中。

信号发生器的基本参数和使用方法

信号发生器本人介绍一下信号发生器的使用和操作步骤1、信号发生器参数性能频率范围:0.2Hz ~2MHz 粗调、微调旋钮正弦波, 三角波, 方波, TTL 脉波0.5" 大型LED 显示器可调DC offset 电位输出过载保护信号发生器/ 信号源的技术指标: 主要输出 波形正弦波, 三角波, 方波, Ramp 与脉波输出 振幅>20Vp-p (opencircuit);>10Vp-p (加50Ω 负载) 阻抗 50Ω+10% 衰减器 -20dB+1.0dB (at 1kHz) DC 飘移<-10V ~ >+10V, (<-5V ~ >+5V 加50Ω负载) 周期控制 1 : 1 to 10 : 1 continuously rating 显示幕 4 位LED 显示幕 频率范围 0.2Hz to2MHz(共7 档) 频率控制Separate coarse and fine tuning 正弦波

失真< 1% 0.2Hz ~ 20kHz , < 2% 20kHz ~ 200kHz 频率响应< 0.2dB 0.2Hz ~100kHz;< 1dB 100kHz~ 2MHz 三角波 线性98% 0.2Hz ~100kHz;95%100kHz~ 2MHz 对称性<2% 0.2Hz ~100kHz 上升/ 下降时间<120nS CMOS输出 位准4Vp-p±1Vp-p ~ 14.5Vp-p±0.5Vp-p 可调 上升/ 下降时间<120nS TTL 输出 位准>3Vpp 上升/ 下降时间<30nS VCF 输入电压约0V~10V ±1V input for 10 : 1 frequency ratio 输入阻抗10kΩ (± 10%) 使用电源 交流100V/120V/220V/230V ±10%, 50/60Hz 附件 电源线× 1, 操作手册× 1, 测试线GTL-101 × 1

函数信号发生器与示波器的使用实验报告书

函数信号发生器与示波器的使用实验报告书 专业:班级:学号: 姓名:实验时间: 实验目的 1、学会数字合成函数信号发生器常用功能的设置、使用; 2、会从函数信号发生器胡频率计上读出信号频率; 3、在了解数字双踪示波器显示波形的工作原理基础上,观察 并测量以下信号:(见下表)学会数字示波器的基本操作与 读书; 实验仪器 F40函数信号发生器、UTD2102CE数字示波器、探头。 实验原理 1、函数信号发生器的原理

该仪器采用直接数字合成技术,可以输出函数信号、调频、调幅、FSK、PSK、猝发、频率扫描等信号,还具有测频、计数、任意波形发生器功能。 2、示波器显示波形原理 如果在示波器CH1或CH2端口加上正弦波,在示波器的X 偏转板加上示波器内部的锯齿波,当锯齿波电压的变化周期与 正弦波电压相等时,则显示完整的周期的正弦波形,若在示波 器CH1和YCH2同时加上正弦波,在示波器的X偏转板上加上 示波器的锯齿波,则在荧光屏上将的到两个正弦波。 实验内容 1、做好准备工作,连接实验仪器电路,设置好函数信号发生 器、示波器; (1)、把函数信号发生器的“函数输出”输出端与示波器的 X CH1信号输入端连接,两台仪器的接通220V交流电源。 (2)、启动函数信号发生器,开机后仪器不需要设置,短暂 时间后,即输出10K Hz的正弦波形。 (3)、需要信号源的其他信号,到时在进行相关的数据设定 (如正弦波2的波形、频率、点频输出、信号幅度)等。 2、用示波器观察上表中序号1的信号波形(10KHz);过程如下: (1)、打开示波器的电源开关,将数字存储示波器探头连接到CH1输入端,按下“AUTO”按键,示波器将自动设置垂直偏转系数、扫描时基以及触发方式;按下CH1按键。

低频信号发生器的使用说明

附录一低频信号发生器的使用说明 一.概述 AS1033型低频信号发生器采用了中央处理器控制面板的操作方式,具有良好的人机界面。输出正弦波信号频率从2Hz~2MHz连续可调,输出正弦波信号幅度从0.5mV~5V连续可调,并设有TTL输出方波功能,频率从2Hz~2MHz连续可调,占空比从20%~80%连续可调。 面板显示清晰明了,操作简单方便,输出频率调节可采用频率段调节(轻触开关粗调)和数码开关调节(段内细调)二种,其中数码开关调节又分快调和慢调两种,五位数码管直接显示频率,输出幅度调节采用轻触粗调(20dB、40dB、60dB)和电位器细调(20dB)以内,三位数码管直接显示输出电压有效值或衰减电平。 中央处理器控制整机各部分,并采用了数/模、模/数转换电路,应用数码开关作为频率调节输入。振荡电路采用压控振荡与稳幅放大相结合,具有良好的稳幅特性。电路中还加入输出保护、TTL输出、方波占空比可调电路等。 二.技术特性 1.频率范围:2Hz~2MHz,共分五个频段 第一频段:2Hz~30Hz 第二频段:30Hz~450Hz 第三频段:450Hz~7kHz 第四频段:7kHz~100kHz 第五频段:100kHz~2MHz 2.正弦波输出特性 (1)输出电压幅度(有效值):0.5mV~5V (2)幅频率特性:≤±0.3dB (3)失真度:2Hz~200kHz≤0.1%,200kHz~2MHz,谐波分量≤-46dB 3.方波输出特性 ⑴最大输出电压(空截,中心电平为0):14Vp-p ⑵占空比(连续可调):20%~80% ⑶逻辑电平输出:TTL电平,上升、下降沿≤25ns 4.输出电抗:600Ω 5.频率显示准确度:1×10-4±1个字 6.正常工作条件 ⑴环境温度:0~40℃ ⑵相对湿度:<90%(40℃) ⑶大气压:86~106kpa ⑷电源电压:220±22V,50±2.5Hz 7.消耗功率:<10W 三.面板及操作说明 1.整机电源开关(POWER) 按下此键,接通电源,同时面板上指示灯亮。 2.频段选择手动按钮

数电实验报告 序列信号发生器

实验报告 实验八序列信号发生器 2.8.1实验目的 (1)熟悉掌握EDA软件工具Multisim的仿真测试应用。 (2)熟悉序列信号发生器的工作原理。 (3)学习序列信号发生器的设计方法。 2.8.2实验仪器设备与主要器件 实验箱一个;双踪示波器一台;稳压电源一台。 4位十进制加法计数器74LS160;4位二进制加法计数器74LS161。 8选1数据选择器74LS251、74LS152和74LS151。 2.8.3实验原理 序列信号是按照一定规则排列的周期性串行二进制码。 1.计数型序列信号发生器 设计过程分为如下两步: ①根据数列码的长度p设计模p计数器,状态可以任意。 ②按计数器的状态转换关系和序列码的要求设计组合输出电路。由于计数器的状态设置和输出序列没有直接关系,因此这种结构对输出序列的更改比较方便,而且还能够同时产生多组序列码。 2.2.移位型序列信号发生器 移位型数字信号发生器是由移位寄存器和组合反馈电路组成的。组合电路的输出,作为移位寄存器的串行输入。由n位寄存器构成的序列信号发生器所产生的序列信号的最大长度为P=2n。 设Q3Q2Q1Q0的初始状态为1110,在CP作用下,Q3的输出为...110011110011...。在这种序列信号的每个循环周期内,代码1和0是按一定规律排列的。在每个循环周期内,包含代码的个数称为循环长度,也称序列长度,用字母P表示。因前面的序列信号110011是一个信号周期,则P=6。如果有Q2输出序列为111001,Q1输出序列为111100,Q0输出序列则为011110。显然这四个序列0和1的排列相同,初始相位不同而已。 2.8.4实验内容 (1)用计数器74LS160设计一个7位巴克码(010011)的产生电路,画出电路时序图。用示波器观察电路输出波形。 设计思路:输出序列信号与计数器的对映关系式: Y’= 0——1——0——0——1——1——1

实验一 信号源实验

实验一信号源实验 一、实验目的 1、了解通信系统的一般模型及信源在整个通信系统中的作用。 2、掌握信号源模块的使用方法。 二、实验内容 1、对应液晶屏显示,观测DDS信源输出波形。 2、观测各路数字信源输出。 3、观测正弦点频信源输出。 4、模拟语音信源耳机接听话筒语音信号。 三、实验仪器 1、信号源模块一块 2、带话筒立体声耳机一副 3、20M双踪示波器一台 四、实验原理 信号源模块大致分为DDS信源、数字信源、正弦点频信源和模拟语音信源几部分。 1、DDS信源 DDS直接数字频率合成信源输出波形种类、频率、幅度及方波B占空比均可通过“DDS 信源按键”调节(具体的操作方法见“实验步骤”),并对应液晶屏显示波形信息。 正弦波输出频率范围为1Hz~200KHz,幅度范围为200mV~4V。 三角波输出频率范围为1Hz~20KHz,幅度范围为200mV~4V。 锯齿波输出频率范围为1Hz~20KHz,幅度范围为200mV~4V。 方波A输出频率范围为1Hz~50KHz,幅度范围为200mV~4V,占空比50%不变。 方波B输出频率范围为1Hz~20KHz,幅度范围为200mV~4V,占空比以5%步进可调。 输出波形如下图1-1所示。

正弦波:1Hz-200KHz 图1-1 DDS信源信号波形 2、数字信源 (1)数字时钟信号 24.576M:钟振输出时钟信号,频率为24.576MHz。 2048K:类似方波的时钟信号输出点,频率为2048 KHz。64K:方波时钟信号输出点,频率为64 KHz。 32K:方波时钟信号输出点,频率为32KHz。 8K:方波时钟信号输出点,频率为8KHz。 输出时钟如下图1-2所示。

信号发生器参数

VC 11+ 基本特点: 1输出的基本精度达0.02%,输出显示为6位 2输出功能: 直流电压、直流电流、欧姆、模拟变送器、热电偶、热电阻、频率、脉冲、开关量 3直流电流输出时,可提供25%和100%的手动步进、自动步进及自动斜坡的输出功能 4热偶输出时,可提供高精度的自动冷端补偿,℃或℉的温度显示 5可外配高精度的测温探头,准确度:±0.2℃ 6大屏LCD多重数据显示,可同时显示:直流电流和%值、热偶、热阻的温度值及其对应分度值等 7操作性能优越键盘配置,输出设定的增减键与LCD上显示设定值按位对应 8采用面板校准技术,无需打开机壳便可进行校准 9带白色LED背光,并具有自动背光关闭和自动电源关闭功能,适合现场使用 输出基本技术指标[ 适用于校准后一年内、23℃±5℃、35~70%RH、精度= ±(设定值%+量程%)]技|术|指|标|输出功能 功能量程输出设定范围分辨力准确度备注 直流电压DCV 100mV -10.000~ 110.000mV 1μV0.02+0.01 最大输出电流 0.5mA 1000mV -100.00~ 1100.00mV 10μV0.02+0.01 最大输出电流 2mA 10V -1.0000~ 11.0000V 0.1mV0.02+0.01 最大输出电流 5mA 直流电 流DCmA 20mA 0.000~ 22.000mA 1μA0.02+0.02 在20 mA时, 最大负载1KΩ 电阻 模拟变送器时, 外部供电5~28V 欧姆OHM 400Ω 0.00Ω~ 400.00Ω 0.01Ω0.02+0.02 激励电流为 ±0.5~3mA 激励电流为 ±0.1~0.5mA 时,加0.1Ω附加 误差 精度中不包含引 线电阻 4KΩ 0.0000 KΩ~ 4.0000 KΩ 0.1Ω0.05+0.025 激励电流为 ±0.05~0.3mA 精度中不包含引

相关文档
相关文档 最新文档